Verilog HDL. Presented by: Amir Masoud Gharehbaghi

Størrelse: px
Starte visningen fra side:

Download "Verilog HDL. Presented by: Amir Masoud Gharehbaghi"

Transkript

1 Verilog HDL Presented by: Amir Masoud Gharehbaghi

2 Design Hierarchy Design Specification & Requirements Behavioral Design Register Transfer Level (RTL) Design Logic Design Circuit Design Physical Design Manufacturing

3 Design Automation (DA) Automatic doing task in design process: Transforming one form of design to another Verifying functionality and timing of design Generating test sequence for design validation Documentation

4 Hardware Description Languages (HDLs) Describing Hardware for: Design & Modeling Simulation Synthesis Testing Documentation

5 Top-Down Design System S1 S2 S3 S11 S12 S13 S31 S32 S121 S122 S123 S311 S312

6 Verilog General Features Support for timing information Support for concurrency

7 Verilog Abstraction Models Algorithmic implements a design algorithm in high-level language constructs RTL describes the flow of data between registers and how a design processes that data Gate-Level describes the logic gates and the connections between logic gates in a design Switch-Level describes the transistors and storage nodes in a device and the connections between them

8 Describing Components module module_name port_list ; // declarations // statements endmodule module and2 (o1, i1, i2); input i1, i2; output o1; assign o1 = i1 & i2; end module

9 Verilog Logic System 4 value logic system 0 zero, false 1 one, true X unknown, conflict Z high-impedance, unconnected

10 Verilog Data Types Nets Physical Connection between two devices wire, Registers Implicit Storage Do not Imply Hardware Memory Elements reg, integer (32 bit reg)

11 Correct Port Connection

12 Veriable Declaration wire range list_of_nets ; wire w1, w2; wire [7:0] w3; reg range list_of_registers ; reg [0:11] r2, r3; integer list_of_integers ; integer i1, i2;

13 Port Modes input range inpt_list ; output range inpt_list ; inout range inpt_list ; input a, b; output [7:0] c; Note: ports are always considered as net, unless declared elsewhere as reg (only for output ports)

14 Switch-Level Modeling MOS Switches: nmos pmos Bidirectiona Pass Switches tranif0 tranif1

15 Example: CMOS Inverter module cmos_inv (o1, i1); input i1; output o1; supply1 vcc; supply0 gnd; pmos p1(o1, vcc, i1); nmos n1(o1, gnd, i1); endmodule

16 Gate-Level Modeling Primitive Gates and, nand, or, nor, xor, xnor GateType delay name (out, in1, ); Buffer and Not buf, not GateType delay name (out, in); Tri-state Gates bufif0, bufif1, notif0, notif1 GateType delay name (out, in, en);

17 Gate Delays 1 delay #(delay) 2 delay #(rise_delay, fall_delay) 3 delay #(rise_delay, fall_delay, off_delay) Delay Elements min:typical:max

18 Example: Full Adder module fa (co, s, a, b, ci); input a, b, ci; output co, s; wire w1, w2, w3; xor #(10) x1(s, a, b, ci); and #(5, 4) a1(w1, a, b); and #(5, 4) a2(w2, a, ci); and #(5, 4) a3(w3, ci, b); or #(5:6:7) o1(co, w1, w2, w3); endmodule

19 Continuous Assignment Modeling Combinational Circuits assign delay net_var = expression ; assign #10 co = a&b a&ci b&ci; assign #12 s = a^b^ci;

20 Operators Arithmetic Relational Bit-wise Logical Conditional Shift Reduction Concatenation Replication

21 Bit-wise Operators ~ & ^ ~^ or ^~ NOT AND OR XOR XNOR

22 Arithmetic Operators + - * / % Addition (unary and binary) Subtraction (unary and binary) Multiplication Division Modulus assign a = b + c ;

23 Number Representation n Fddd n: length (default is 32) F: base format b Binary o Octal h hexadecimal d Decimal (default) ddd: legal digits for the base specified

24 Number Examples b1000_ hf55-4 d13 16 h1ffx o34 // decimal // 8 bit binary // 12 bit hex // 4 bit decimal // 16 bit hex with // 4 lsb unknown bits // 32 bit octal

25 Shift Operators << >> Shift Left Shift Right assign a = b << 2; assign c = d >> 1;

26 Conditional Operator cond? true_result : false_result assign z = sel? a : b ;

ECE 551: Digital System * Design & Synthesis Lecture Set 5

ECE 551: Digital System * Design & Synthesis Lecture Set 5 ECE 551: Digital System * Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) 5.2: Verilog Simulation I/O and 2001 Standard (In Separate File) 3/4/2003 1 ECE

Læs mere

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication Basic Design Flow System design System/Architectural Design Instruction set for processor Hardware/software partition Memory, cache Logic design Logic Design Logic synthesis Logic optimization Technology

Læs mere

Chapter. Information Representation

Chapter. Information Representation Chapter 3 Information Representation (a) A seven-bit cell. Figure 3. Figure 3. (Continued) (b) Some possible values in a seven-bit cell. Figure 3. (Continued) 6 8 7 2 5 J A N U A R Y (c) Some impossible

Læs mere

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1 Project Step 7 Behavioral modeling of a dual ported register set. Copyright 2006 - Joanne DeGroat, ECE, OSU 1 The register set Register set specifications 16 dual ported registers each with 16- bit words

Læs mere

ECE 551: Digital System Design & Synthesis Lecture Set 5

ECE 551: Digital System Design & Synthesis Lecture Set 5 ECE 551: Digital System Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) (In Separate File) 5.2: Verilog Simulation I/O, Compiler Directives, and 2001 Standard

Læs mere

EE 447 VLSI Design. Lecture 8: Circuit Families. EE 447 VLSI Design

EE 447 VLSI Design. Lecture 8: Circuit Families. EE 447 VLSI Design EE 447 VLSI Design Lecture 8: Circuit Families Outline Pseudo-nMOS Logic Dynamic Logic Pass Transistor Logic 2 Introduction What makes a circuit fast? I C dv/dt -> t pd (C/I) ΔV low capacitance high current

Læs mere

UNISONIC TECHNOLOGIES CO.,

UNISONIC TECHNOLOGIES CO., UNISONIC TECHNOLOGIES CO., 3 TERMINAL 1A NEGATIVE VOLTAGE REGULATOR DESCRIPTION 1 TO-263 The UTC series of three-terminal negative regulators are available in TO-263 package and with several fixed output

Læs mere

Strings and Sets: set complement, union, intersection, etc. set concatenation AB, power of set A n, A, A +

Strings and Sets: set complement, union, intersection, etc. set concatenation AB, power of set A n, A, A + Strings and Sets: A string over Σ is any nite-length sequence of elements of Σ The set of all strings over alphabet Σ is denoted as Σ Operators over set: set complement, union, intersection, etc. set concatenation

Læs mere

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1 VLSI Design DC & Transient Response 4: DC and Transient Response 1 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation 4: DC and Transient Response DC Response DC Response:

Læs mere

Modeling levels. Levels of hardware modeling. Possible set of levels (others exist)

Modeling levels. Levels of hardware modeling. Possible set of levels (others exist) pm2 2 Modeling levels Embedded System Design Kluwer Academic Publisher by Peter Marwedel TU Dortmund 2008// ine Marwedel, 2003 Graphics: Alexandra Nolte, Ges Levels of hardware modeling Possible set of

Læs mere

Engineering of Chemical Register Machines

Engineering of Chemical Register Machines Prague International Workshop on Membrane Computing 2008 R. Fassler, T. Hinze, T. Lenser and P. Dittrich {raf,hinze,thlenser,dittrich}@minet.uni-jena.de 2. June 2008 Outline 1 Motivation Goal Realization

Læs mere

RoE timestamp and presentation time in past

RoE timestamp and presentation time in past RoE timestamp and presentation time in past Jouni Korhonen Broadcom Ltd. 5/26/2016 9 June 2016 IEEE 1904 Access Networks Working Group, Hørsholm, Denmark 1 Background RoE 2:24:6 timestamp was recently

Læs mere

Black Jack --- Review. Spring 2012

Black Jack --- Review. Spring 2012 Black Jack --- Review Spring 2012 Simulation Simulation can solve real-world problems by modeling realworld processes to provide otherwise unobtainable information. Computer simulation is used to predict

Læs mere

Online kursus: Programming with ANSI C

Online kursus: Programming with ANSI C Online kursus 365 dage DKK 1.999 Nr. 90198 P ekskl. moms Denne kursuspakke giver dig et bredt kendskab til sproget C, hvis standarder er specificeret af American National Standards Institute (ANSI). Kurserne

Læs mere

Niveauer af abstrakte maskiner

Niveauer af abstrakte maskiner Det digitale niveau Niveauer af abstrakte maskiner Digitale kredsløb Logiske tilstande: (- V), (2-5 V) Kombinatoriske kredsløb Logiske tilstande: (- V), (2-5 V) Registre Logiske tilstande: (- V), (2-5

Læs mere

Heuristics for Improving

Heuristics for Improving Heuristics for Improving Model Learning Based Testing Muhammad Naeem Irfan VASCO-LIG LIG, Computer Science Lab, Grenoble Universities, 38402 Saint Martin d Hères France Introduction Component Based Software

Læs mere

Niveauer af abstrakte maskiner

Niveauer af abstrakte maskiner Det digitale niveau Niveauer af abstrakte maskiner Mikroarkitektur: Mic-1 Digitale kredsløb Logiske tilstande: 0 (0-1 V), 1 (2-5 V) Mikroarkitektur: Mic-1 Kombinatoriske kredsløb Logiske tilstande: 0 (0-1

Læs mere

ME6212. High Speed LDO Regulators, High PSRR, Low noise, ME6212 Series. General Description. Typical Application. Package

ME6212. High Speed LDO Regulators, High PSRR, Low noise, ME6212 Series. General Description. Typical Application. Package High Speed LDO Regulators, High PSRR, Low noise, Series General Description The series are highly accurate, low noise, CMOS LDO Voltage Regulators. Offering low output noise, high ripple rejection ratio,

Læs mere

Last Lecture CS Amp. I D V B M 2. I bias. A v. V out. V in. Simplified Schematic. Practical Implementation V GS

Last Lecture CS Amp. I D V B M 2. I bias. A v. V out. V in. Simplified Schematic. Practical Implementation V GS Output Range INEL 565 Analog Circuit Design 1/30/019 Last Lecture CS Amp. I D off ohmic sat sat ohmic ohmic I bias V B I bias V dd V dd -V ov A v Simplified Schematic Practical Implementation V ov1 V th

Læs mere

Bits, bit operationer, integers og floating point

Bits, bit operationer, integers og floating point Denne guide er oprindeligt udgivet på Eksperten.dk Bits, bit operationer, integers og floating point Denne artikel beskriver hvordan data gemmes som bits og hvordan man kan manipulere med bits. Den forudsætter

Læs mere

Start af nyt schematic projekt i Quartus II

Start af nyt schematic projekt i Quartus II Start af nyt schematic projekt i Quartus II Det følgende er ikke fremstillet som en brugsanvisning der gennemgår alle de muligheder der er omkring oprettelse af et Schematic projekt i Quartus II men kun

Læs mere

CHAPTER 8: USING OBJECTS

CHAPTER 8: USING OBJECTS Ruby: Philosophy & Implementation CHAPTER 8: USING OBJECTS Introduction to Computer Science Using Ruby Ruby is the latest in the family of Object Oriented Programming Languages As such, its designer studied

Læs mere

WIO200A Water in oil sensor

WIO200A Water in oil sensor WIO200A Water in oil sensor Datasheet 111688-900 Rev. 1.03 Dato: 2012-06-01 03-01-0501-CRJ-04 Side 1 af 13 Technical Sensor Data Order Order number A01-110-0101-01 Output Analogue output 4 20 ma (galvanic

Læs mere

Det Digitale Niveau. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet

Det Digitale Niveau. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet Det Digitale Niveau Niels Olof Bouvin Institut for Datalogi Aarhus Universitet Level : Det digitale niveau Level 5 Problem-oriented language level Translation (compiler) Level 4 Assembly language level

Læs mere

WIO200A INSTALLATIONS MANUAL Rev Dato:

WIO200A INSTALLATIONS MANUAL Rev Dato: WIO200A INSTALLATIONS MANUAL 111686-903 Rev. 1.01 Dato: 10.01.2013 Side 1 af 14 Contents Contents... 2 Introduction... 3 Pin assignment of the terminal box connector for customer... 4 Pin assignment of

Læs mere

Bilag 8. TDC technical requirements for approval of splitterfilters and inline filters intended for shared access (ADSL or VDSL over POTS).

Bilag 8. TDC technical requirements for approval of splitterfilters and inline filters intended for shared access (ADSL or VDSL over POTS). Bilag 8. TDC technical requirements for approval of splitters and inline s intended for shared access (ADSL or VDSL over POTS). Dette bilag udgør bilag 8 til det mellem parterne tiltrådte Produkttillæg

Læs mere

United Nations Secretariat Procurement Division

United Nations Secretariat Procurement Division United Nations Secretariat Procurement Division Vendor Registration Overview Higher Standards, Better Solutions The United Nations Global Marketplace (UNGM) Why Register? On-line registration Free of charge

Læs mere

Internt interrupt - Arduino

Internt interrupt - Arduino Programmering for begyndere Brug af Arduino Internt interrupt - Arduino - Afslutning EDR Hillerød Knud Krogsgaard Jensen / OZ1QK 1 Intern interrupt Jeg vil rydde lidt op. Her er nogle punkter vil har glemt

Læs mere

Unitel EDI MT940 June 2010. Based on: SWIFT Standards - Category 9 MT940 Customer Statement Message (January 2004)

Unitel EDI MT940 June 2010. Based on: SWIFT Standards - Category 9 MT940 Customer Statement Message (January 2004) Unitel EDI MT940 June 2010 Based on: SWIFT Standards - Category 9 MT940 Customer Statement Message (January 2004) Contents 1. Introduction...3 2. General...3 3. Description of the MT940 message...3 3.1.

Læs mere

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Generalized Probit Model in Design of Dose Finding Experiments Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Outline Motivation Generalized probit model Utility function Locally optimal designs

Læs mere

Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt

Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt Passage 1 Passage 2 Passage 3 Passage 4 Passage 5 27 Bilag 2 Engelsk beskrivelse af S4-måleren InterOcean S4 Current Meter The S4 Electromagnetic

Læs mere

WI180C-PB. Online-datablad

WI180C-PB. Online-datablad Online-datablad A B C D E F H I J K L M N O P Q R S T Oversigt over tekniske data Tekniske data Tilbehørsfamilie Henvisning Kapslingsklasse Dimensioner (B x H x L) Beskrivelse Klassifikationer Bestillingsoplysninger

Læs mere

Simulering af en Mux2

Simulering af en Mux2 Simulering af en Mux2 Indhold Start QuartusII op start et nyt projekt.... 2 Fitter opsætning... 6 Opstart af nyt Block diagram... 8 ModelSim... 14 Hvis man vil ændre data grafisk kan det også lade sig

Læs mere

Delta Elektronik A/S - AKD

Delta Elektronik A/S - AKD Delta Elektronik A/S - AKD Hardware og type oversigt Grundlæggende oplysninger med forbindelser Opsætning af IP adresser på drev alle muligheder Gennemgang af WorkBench Up/Down load parametre filer Mest

Læs mere

LoGen Generation and Simulation of Digital Logic on the Gate-Level via Internet

LoGen Generation and Simulation of Digital Logic on the Gate-Level via Internet LoGen Generation and Simulation of Digital Logic on the Gate-Level via Internet Stephan Kubisch, R Rennert, H Pfüller, D Timmermann Institute of Applied Microelectronics and Computer Engineering University

Læs mere

Linear Programming ١ C H A P T E R 2

Linear Programming ١ C H A P T E R 2 Linear Programming ١ C H A P T E R 2 Problem Formulation Problem formulation or modeling is the process of translating a verbal statement of a problem into a mathematical statement. The Guidelines of formulation

Læs mere

Automatisk Branddetekterings- og Alarm-system Automatic Fire Detection and Alarm System

Automatisk Branddetekterings- og Alarm-system Automatic Fire Detection and Alarm System CERTIFICATE Panasonic Fire & Security Europe AB Jungmansgatan 12 SE 211 19 Malmö Sweden Certifikat nr. Certificate no. 232.258 har på baggrund af vurderede systemegenskaber baseret på systemprøvningsrapport(er)

Læs mere

frame bracket Ford & Dodge

frame bracket Ford & Dodge , Rev 3 02/19 frame bracket 8552005 Ford & Dodge ITEM PART # QTY DESCRIPTION 1 00083 8 NUT,.50NC HEX 2 00084 8 WASHER,.50 LOCK 3 14189-76 2 FRAME BRACKET 4 14194-76 1 411AL FRAME BRACKET PASSENGER SIDE

Læs mere

DET KONGELIGE BIBLIOTEK NATIONALBIBLIOTEK OG KØBENHAVNS UNIVERSITETS- BIBLIOTEK. Index

DET KONGELIGE BIBLIOTEK NATIONALBIBLIOTEK OG KØBENHAVNS UNIVERSITETS- BIBLIOTEK. Index DET KONGELIGE Index Download driver... 2 Find the Windows 7 version.... 2 Download the Windows Vista driver.... 4 Extract driver... 5 Windows Vista installation of a printer.... 7 Side 1 af 12 DET KONGELIGE

Læs mere

Sesam seminar nr. 106. Sesam seminar nr. 106 - Opbygning af standard bibliotek til PLC / SCADA / MES

Sesam seminar nr. 106. Sesam seminar nr. 106 - Opbygning af standard bibliotek til PLC / SCADA / MES Sesam seminar nr. 106 Opbygning af standard software bibliotek til PLC / SCADA / MES Fokus områder: Fundament & omfang af software bibliotek Overvejelser i forbindelse med etablering af bibliotek Vedligeholdelse

Læs mere

High Voltage AC & DC Film Capacitors

High Voltage AC & DC Film Capacitors RoHS COMPLIANT High Voltage AC & DC Film Capacitors Aerovox high voltage capacitors are constructed with metallized polypropylene film and are available in round or oval aluminum cases with four-blade

Læs mere

Molio specifications, development and challenges. ICIS DA 2019 Portland, Kim Streuli, Molio,

Molio specifications, development and challenges. ICIS DA 2019 Portland, Kim Streuli, Molio, Molio specifications, development and challenges ICIS DA 2019 Portland, Kim Streuli, Molio, 2019-06-04 Introduction The current structure is challenged by different factors. These are for example : Complex

Læs mere

LX5280. High-Performance RISC-DSP for IP Licensing

LX5280. High-Performance RISC-DSP for IP Licensing High-Performance RISC-DSP for IP Licensing Bob Gelinas, Charlie Hauck, Pat Hays, Franklin Hooker, Kevin Joyce, Sol Katzman, Charley Lind, Elliot Mednick, Tat Ng, Jonah Probell, Sam Rosen, Bill Rubin Lexra,

Læs mere

Breaking Industrial Ciphers at a Whim MATE SOOS PRESENTATION AT HES 11

Breaking Industrial Ciphers at a Whim MATE SOOS PRESENTATION AT HES 11 Breaking Industrial Ciphers at a Whim MATE SOOS PRESENTATION AT HES 11 Story line 1 HiTag2: reverse-engineered proprietary cipher 2 Analytic tools are needed to investigate them 3 CryptoMiniSat: free software

Læs mere

PEMS RDE Workshop. AVL M.O.V.E Integrative Mobile Vehicle Evaluation

PEMS RDE Workshop. AVL M.O.V.E Integrative Mobile Vehicle Evaluation PEMS RDE Workshop AVL M.O.V.E Integrative Mobile Vehicle Evaluation NEW - M.O.V.E Mobile Testing Platform Key Requirements for Measuring Systems Robustness Shock / vibrations Change of environment Compact

Læs mere

En god Facebook historie Uddannelser og valgfag målrettet datacenterindustrien!?

En god Facebook historie Uddannelser og valgfag målrettet datacenterindustrien!? En god Facebook historie Uddannelser og valgfag målrettet datacenterindustrien!? DDI møde 18.09.2019 - UCL, Odense. V/ Projektleder og lektor Lars Bojen, IT & Tech uddannelserne, lcbn@ucl.dk Agenda 1.

Læs mere

program fibomain(input,output); var i, j,result : integer; var x, y: integer;

program fibomain(input,output); var i, j,result : integer; var x, y: integer; program fibomain(input,output); var i, j,result : integer; procedure fib(n : integer); var x, y: integer; begin if (n=0) or (n=1) then result := 1 else begin fib(n-1); x:= result; fib(n-2); y:= result;

Læs mere

Popular Sorting Algorithms CHAPTER 7: SORTING & SEARCHING. Popular Sorting Algorithms. Selection Sort 4/23/2013

Popular Sorting Algorithms CHAPTER 7: SORTING & SEARCHING. Popular Sorting Algorithms. Selection Sort 4/23/2013 Popular Sorting Algorithms CHAPTER 7: SORTING & SEARCHING Introduction to Computer Science Using Ruby Computers spend a tremendous amount of time sorting The sorting problem: given a list of elements in

Læs mere

Boolsk algebra For IT studerende

Boolsk algebra For IT studerende Boolsk algebra For IT studerende Henrik Kressner Indholdsfortegnelse 1 Indledning...2 2 Logiske kredsløb...3 Eksempel:...3 Operatorer...4 NOT operatoren...4 AND operatoren...5 OR operatoren...6 XOR operatoren...7

Læs mere

Aflevering af OIOXML-skemaer Dokumentation

Aflevering af OIOXML-skemaer Dokumentation Aflevering af OIOXML-skemaer Dokumentation 2 Indholdsfortegnelse Indholdsfortegnelse... 2 Projektbeskrivelse... 3 Projektansvarlig... 3 Formål... 3 Namespace... 3 Skemafiler... 3 Kontrol... Error! Bookmark

Læs mere

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU OUTLINE INEFFICIENCY OF ATTILA WAYS TO PARALLELIZE LOW COMPATIBILITY IN THE COMPILATION A SOLUTION

Læs mere

ECE495D ASIC Design Lab

ECE495D ASIC Design Lab ECE495D ASIC Design Lab Learn VHDL for hardware design VHSIC Hardware Description Language Practice RTL design (register transfer level) Understand & use ASIC design flow Be able to briefly describe how

Læs mere

VLSI Design I. Design for Test. Overview design for test architectures ad-hoc, scan based, built-in

VLSI Design I. Design for Test. Overview design for test architectures ad-hoc, scan based, built-in VLSI esign I esign for Test He s dead Jim... Overview design for test architectures ad-hoc, scan based, built-in in Goal: You are familiar with testability metrics and you know ad-hoc test structures as

Læs mere

Digital & Software Design. Software design for engineering systems

Digital & Software Design. Software design for engineering systems 33DV2 - Digital & Software Design Digital design Combinational logic Sequential systems State machines Software design for engineering systems System design Program design Dr Dr Xu Xu Wang Room 2.7 2.7

Læs mere

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012 Server side Programming Wedesign Forelæsning #8 Recap PHP 1. Development Concept Design Coding Testing 2. Social Media Sharing, Images, Videos, Location etc Integrates with your websites 3. Widgets extend

Læs mere

On the complexity of drawing trees nicely: corrigendum

On the complexity of drawing trees nicely: corrigendum Acta Informatica 40, 603 607 (2004) Digital Object Identifier (DOI) 10.1007/s00236-004-0138-y On the complexity of drawing trees nicely: corrigendum Thorsten Akkerman, Christoph Buchheim, Michael Jünger,

Læs mere

Brother HL-L2310D Laser

Brother HL-L2310D Laser Brother HL-L2310D Laser Description Brother HL-L2310D - Printer - monochrome - Duplex - laser - A4/Legal - - up to 30 ppm - capacity: 250 sheets - USB 2.0 Features Maksimal værdi for pengene Omkostninger

Læs mere

USER GUIDE Version 2.9. SATEL Configuration Manager. Setup and configuration program. for SATELLINE radio modem

USER GUIDE Version 2.9. SATEL Configuration Manager. Setup and configuration program. for SATELLINE radio modem USER GUIDE Version 2.9 Setup and configuration program for SATELLINE radio modem 1 TABLE OF CONTENTS 1 TABLE OF CONTENTS... 2 2 GENERAL... 3 2.1 ABOUT SATEL CONFIGURATION MANAGER... 3 3 QUICK GUIDE TO

Læs mere

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints Particle-based T-Spline Level Set for 3D Object Reconstruction with Range and Volume Constraints Robert Feichtinger (joint work with Huaiping Yang, Bert Jüttler) Institute of Applied Geometry, JKU Linz

Læs mere

FACULTY OF SCIENCE :59 COURSE. BB838: Basic bioacoustics using Matlab

FACULTY OF SCIENCE :59 COURSE. BB838: Basic bioacoustics using Matlab FACULTY OF SCIENCE 01-12- 11:59 COURSE BB838: Basic bioacoustics using Matlab 28.03. Table Of Content Internal Course Code Course title ECTS value STADS ID (UVA) Level Offered in Duration Teacher responsible

Læs mere

EuroForm OCR-B Installation Guide

EuroForm OCR-B Installation Guide EuroForm OCR-B Installation Guide Dansk For HP LaserJet Printers Installation Guide NOTE The information contained in this document is subject to change without notice. EuroForm makes no warranty of any

Læs mere

Computeren inderst inde

Computeren inderst inde Computeren inderst inde DM534 Rolf Fagerberg Bits Information = valg mellem forskellig muligheder. Simpleste situation: valg mellem to muligheder. Kald dem 0 og. Denne valgmulighed kaldes en bit. Bits

Læs mere

Oracle PL/SQL. Overview of PL/SQL

Oracle PL/SQL. Overview of PL/SQL Oracle PL/SQL John Ortiz Overview of PL/SQL Oracle's Procedural Language extension to SQL. Support many programming language features. If-then-else, loops, subroutines. Program units written in PL/SQL

Læs mere

HP Color LaserJet Professional CP5225n Laser

HP Color LaserJet Professional CP5225n Laser HP Color LaserJet Professional CP5225n Laser Description HP Color LaserJet Professional CP5225n - Printer - color - laser - A3 - - up to 20 ppm (mono) / up to 20 ppm (color) - capacity: 350 sheets - USB,

Læs mere

2) Det er let at være produktiv med Python, da Python som regel kun har mellem 67 og 80% færre linier end tilsvarende C eller Java kode.

2) Det er let at være produktiv med Python, da Python som regel kun har mellem 67 og 80% færre linier end tilsvarende C eller Java kode. Denne guide er oprindeligt udgivet på Eksperten.dk I gang med Python I denne artikel vil jeg forsøge at give et kort og hurtigt indblik i programmeringssproget Python, der desværre er alt for overset.

Læs mere

StarWars-videointro. Start din video på den nørdede måde! Version: August 2012

StarWars-videointro. Start din video på den nørdede måde! Version: August 2012 StarWars-videointro Start din video på den nørdede måde! Version: August 2012 Indholdsfortegnelse StarWars-effekt til videointro!...4 Hent programmet...4 Indtast din tekst...5 Export til film...6 Avanceret

Læs mere

Netværk & elektronik

Netværk & elektronik Netværk & elektronik Oversigt Ethernet og IP teori Montering af Siteplayer modul Siteplayer teori Siteplayer forbindelse HTML Router (port forwarding!) Projekter Lkaa Mercantec 2009 1 Ethernet På Mars

Læs mere

LINK-56K. Emulator for Motorola s DSPs. A Product of Domain Technologies, Inc.

LINK-56K. Emulator for Motorola s DSPs. A Product of Domain Technologies, Inc. LINK-56K Emulator for Motorola s DSPs A Product of Domain Technologies, Inc. LINK-56K User s Guide, Version1.05 September, 2003 DSPs supported by this product: Motorola DSP56001 Motorola DSP56002 and derivatives

Læs mere

Epson AcuLaser CX37DNF Laser

Epson AcuLaser CX37DNF Laser Epson AcuLaser CX37DNF Laser Description Epson AcuLaser CX37DNF - Multifunction printer - color - laser - 215.9 x 500 mm (original) - A4/Legal (media) - up to 24 ppm (printing) - 350 sheets - 33.6 Kbps

Læs mere

Vina Nguyen HSSP July 13, 2008

Vina Nguyen HSSP July 13, 2008 Vina Nguyen HSSP July 13, 2008 1 What does it mean if sets A, B, C are a partition of set D? 2 How do you calculate P(A B) using the formula for conditional probability? 3 What is the difference between

Læs mere

Design by Contract. Design and Programming by Contract. Oversigt. Prædikater

Design by Contract. Design and Programming by Contract. Oversigt. Prædikater Design by Contract Design and Programming by Contract Anne Haxthausen ah@imm.dtu.dk Informatics and Mathematical Modelling Technical University of Denmark Design by Contract er en teknik til at specificere

Læs mere

Emergency ward simulation

Emergency ward simulation Emergency ward simulation Claus Ørum-Hansen Simulation Symposium, Lyngby 7.November 2013 Building a Emergency ward simulation model, the key questions to be answered are What? Where? When? How many? Personnel

Læs mere

CERTIFIKAT CERTIFICATE

CERTIFIKAT CERTIFICATE CERTIFIKAT CERTIFICATE Dette certifikat er udstedt i henhold til bekendtgørelse nr. 1007 af 29. juni 2016 om markedsføring og salg af byggevarer i kontakt med drikkevand. Certifikatet giver godkendelsesindehaveren

Læs mere

IBM Network Station Manager. esuite 1.5 / NSM Integration. IBM Network Computer Division. tdc - 02/08/99 lotusnsm.prz Page 1

IBM Network Station Manager. esuite 1.5 / NSM Integration. IBM Network Computer Division. tdc - 02/08/99 lotusnsm.prz Page 1 IBM Network Station Manager esuite 1.5 / NSM Integration IBM Network Computer Division tdc - 02/08/99 lotusnsm.prz Page 1 New esuite Settings in NSM The Lotus esuite Workplace administration option is

Læs mere

Velkommen. Backup & Snapshot v. Jørgen Weinreich / Arrow ECS Technical Specialist

Velkommen. Backup & Snapshot v. Jørgen Weinreich / Arrow ECS Technical Specialist Velkommen Backup & Snapshot v. Jørgen Weinreich / Arrow ECS Technical Specialist 1 Agenda Fra backup til restore produkt Politikstyret Backup Live Demo 2 IBM XIV Snapshots - Næsten uden begrænsninger Snapshot

Læs mere

Lithium-Ion Jump-Starters / Jumper Cables

Lithium-Ion Jump-Starters / Jumper Cables AUTOMOTIVE Lithium-Ion Jump-Starters / Jumper Cables Lithium-Ion Jump-Starter 1300: Part No. DRLJS130 1300 4 6 8 cylinder (6 liter gas 3 liter diesel) SafeJump technology prevents sparking and protects

Læs mere

Røgalarm Brugervejledning Læs brugervejledningen grundigt igennem før alarmen tages i brug

Røgalarm Brugervejledning Læs brugervejledningen grundigt igennem før alarmen tages i brug Røgalarm Brugervejledning Læs brugervejledningen grundigt igennem før alarmen tages i brug Denne røgalarm fungerer ved at infrarøde stråler spredes i den røg, der udvikles i brandens indledende fase. Alarmen

Læs mere

Lagerstyring i Microsoft Dynamics 365 for Finance and Operations

Lagerstyring i Microsoft Dynamics 365 for Finance and Operations Kursus 3 dage DKK 17.700 Nr. 90267 P ekskl. moms Dato Sted 23-09-2019 Taastrup Lagerstyring i Microsoft Dynamics 365 for Finance and Operations Kurset er for alle, der ønsker at lære om og mestre den grundlæggende

Læs mere

Basic statistics for experimental medical researchers

Basic statistics for experimental medical researchers Basic statistics for experimental medical researchers Sample size calculations September 15th 2016 Christian Pipper Department of public health (IFSV) Faculty of Health and Medicinal Science (SUND) E-mail:

Læs mere

Boolsk algebra For IT studerende

Boolsk algebra For IT studerende Boolsk algebra For IT studerende Henrik Kressner Indholdsfortegnelse Indledning...3 Logiske kredsløb...4 Eksempel:...4 Operatorer...4 NOT operatoren...5 AND operatoren...5 OR operatoren...6 XOR operatoren...7

Læs mere

ATEX direktivet. Vedligeholdelse af ATEX certifikater mv. Steen Christensen stec@teknologisk.dk www.atexdirektivet.

ATEX direktivet. Vedligeholdelse af ATEX certifikater mv. Steen Christensen stec@teknologisk.dk www.atexdirektivet. ATEX direktivet Vedligeholdelse af ATEX certifikater mv. Steen Christensen stec@teknologisk.dk www.atexdirektivet.dk tlf: 7220 2693 Vedligeholdelse af Certifikater / tekniske dossier / overensstemmelseserklæringen.

Læs mere

The GAssist Pittsburgh Learning Classifier System. Dr. J. Bacardit, N. Krasnogor G53BIO - Bioinformatics

The GAssist Pittsburgh Learning Classifier System. Dr. J. Bacardit, N. Krasnogor G53BIO - Bioinformatics The GAssist Pittsburgh Learning Classifier System Dr. J. Bacardit, N. Krasnogor G53BIO - Outline bioinformatics Summary and future directions Objectives of GAssist GAssist [Bacardit, 04] is a Pittsburgh

Læs mere

Programmering i C Intro og grundlæggende C 5. marts 2007

Programmering i C Intro og grundlæggende C 5. marts 2007 Programmering i C Intro og grundlæggende C 5. marts 2007 Mads Pedersen, OZ6HR mads@oz6hr.dk Plan for kurset Ma. 5/3: Ma. 19/3: Ma. 2/4: To. 12/4: Formål, intro, grundlæggende Videre, sprogkonstruktioner

Læs mere

Software Design (SWD) Spørgsmål 1

Software Design (SWD) Spørgsmål 1 Spørgsmål 1 Unified Process Du skal give en beskrivelse af Unified Process. Beskrivelsen skal indeholde forklaring på følgende begreber: Phase Iteration Discipline Artifact Milestone Du skal relaterer

Læs mere

PICTURE formater. Klog på SAS 15. marts 2012

PICTURE formater. Klog på SAS 15. marts 2012 PICTURE formater Klog på SAS 15. marts 2012 Agenda Hvad er et format Hvor adskiller et PICTURE format sig Teknik Eksempel 1 cprnr Eksempel 2 cprnr med "udsøgning" af fejlrecords Eksempel 3 et format, der

Læs mere

Privat-, statslig- eller regional institution m.v. Andet Added Bekaempelsesudfoerende: string No Label: Bekæmpelsesudførende

Privat-, statslig- eller regional institution m.v. Andet Added Bekaempelsesudfoerende: string No Label: Bekæmpelsesudførende Changes for Rottedatabasen Web Service The coming version of Rottedatabasen Web Service will have several changes some of them breaking for the exposed methods. These changes and the business logic behind

Læs mere

% &$ # '$ ## () %! #! & # &, # / # 0&. ) 123 45 / & #& #

% &$ # '$ ## () %! #! & # &, # / # 0&. ) 123 45 / & #& # !"$!!"$ % &$ '$ () %! %"!" & * function &+! & &, --.& / 0&. ) 123 45 / & & & 6 Sub CalcVecProduct() * &3.5 & 2 &6 / 7$ & & & "%&$&"! 2 " $ " 8 $ & $/ $ $" 9&6 Sub test() streng_y = "det her går " streng_y

Læs mere

Portal Registration. Check Junk Mail for activation . 1 Click the hyperlink to take you back to the portal to confirm your registration

Portal Registration. Check Junk Mail for activation  . 1 Click the hyperlink to take you back to the portal to confirm your registration Portal Registration Step 1 Provide the necessary information to create your user. Note: First Name, Last Name and Email have to match exactly to your profile in the Membership system. Step 2 Click on the

Læs mere

SOFTWARE PROCESSES. Dorte, Ida, Janne, Nikolaj, Alexander og Erla

SOFTWARE PROCESSES. Dorte, Ida, Janne, Nikolaj, Alexander og Erla SOFTWARE PROCESSES Dorte, Ida, Janne, Nikolaj, Alexander og Erla Hvad er en software proces? Et struktureret sæt af AKTIVITETER, hvis mål er udvikling af software. En software proces model er en abstrakt

Læs mere

Software Design (SWD) Spørgsmål 1

Software Design (SWD) Spørgsmål 1 Spørgsmål 1 Unified Process Du skal give en beskrivelse af Unified Process. Beskrivelsen skal indeholde forklaring på følgende begreber: Phase Iteration Discipline Activity Milestone Artifact Spørgsmål

Læs mere

LED STAR PIN G4 BASIC INFORMATION: Series circuit. Parallel circuit. www.osram.com 1. HOW CAN I UNDERSTAND THE FOLLOWING SHEETS?

LED STAR PIN G4 BASIC INFORMATION: Series circuit. Parallel circuit. www.osram.com 1. HOW CAN I UNDERSTAND THE FOLLOWING SHEETS? BASIC INFORMATION: 1. HOW CAN I UNDERSTAND THE FOLLOWING SHES? Compatibility to OSRAM s: -Series Circuit... Page 2 -Parallel Circuit... Page 3 Compatibility to OTHER s : -Series Circuit... Page 4 -Parallel

Læs mere

How Long Is an Hour? Family Note HOME LINK 8 2

How Long Is an Hour? Family Note HOME LINK 8 2 8 2 How Long Is an Hour? The concept of passing time is difficult for young children. Hours, minutes, and seconds are confusing; children usually do not have a good sense of how long each time interval

Læs mere

Logiske Digitale Kredsløb 10. Agenda: Interaktion mellem bruger og kredsløb Kontakter og prel Displays

Logiske Digitale Kredsløb 10. Agenda: Interaktion mellem bruger og kredsløb Kontakter og prel Displays LDK D3 kursusplan LDK 1 Introduktion, talsystemer, boolsk algebra, DeMorgan, sandhedstabeller, LDK 2 Logiske byggeblokke, grafisk minimering, Kaunaugh, Kombinatoriske netværk LDK 3 PEEL 18CV8 til realisering

Læs mere

Main [OB1] Network 1: Network 2: Network 3: Network 4: Network 5: Network 6: Totally Integrated Automation Portal

Main [OB1] Network 1: Network 2: Network 3: Network 4: Network 5: Network 6: Totally Integrated Automation Portal Main [OB1] Main roperties General Name Main Number 1 Type OB Language LAD Numbering Automatic Information Title "Main rogram weep (Cycle)" Author Comment Family Version 0.1 User-defined ID Name Data type

Læs mere

Epson AcuLaser CX37DTNF Laser

Epson AcuLaser CX37DTNF Laser Epson AcuLaser CX37DTNF Laser Description Epson AcuLaser CX37DTNF - Multifunction printer - color - laser - 215.9 x 500 mm (original) - A4/Legal (media) - up to 24 ppm (printing) - 850 sheets - 33.6 Kbps

Læs mere

Slot diffusers. Slot diffusers LD-17, LD-18

Slot diffusers. Slot diffusers LD-17, LD-18 LD-17, LD-18 Application LD-17 and LD-18 are designed for supply of cold or warm air in rooms with a height between. m and 4 m. They allow easy setting of air deflectors for different modes of operation

Læs mere

IBM WebSphere Operational Decision Management

IBM WebSphere Operational Decision Management IBM WebSphere Operational Decision Management 8 0 29., WebSphere Operational Decision Management 8, 0, 0. Copyright IBM Corporation 2008, 2012. ........... 1 :......... 1 1: Decision Center....... 3 1

Læs mere

Designing Complex FPGAs

Designing Complex FPGAs ALSE France - Sept 2001 Designing Complex FPGAs -do it right, the first time- Bert CUZEAU Technical Manager - ALSE ASIC / FPGA Design Expert Doulos HDL Instructor (Verilog-VHDL) info@alse-fr.com http://www.alse-fr.com

Læs mere

Besvarelser til Lineær Algebra Reeksamen Februar 2017

Besvarelser til Lineær Algebra Reeksamen Februar 2017 Besvarelser til Lineær Algebra Reeksamen - 7. Februar 207 Mikkel Findinge Bemærk, at der kan være sneget sig fejl ind. Kontakt mig endelig, hvis du skulle falde over en sådan. Dette dokument har udelukkende

Læs mere

Samsung Xpress SL-M2026W Laser

Samsung Xpress SL-M2026W Laser Samsung Xpress SL-M2026W Laser Description Samsung Xpress SL-M2026W - Printer - monochrome - laser - A4/Legal - 1200 x 1200 dpi - up to 20 ppm - capacity: 150 sheets - USB 2.0, Wi-Fi(n) Features Problemfri

Læs mere