EE 447 VLSI Design. Lecture 8: Circuit Families. EE 447 VLSI Design

Størrelse: px
Starte visningen fra side:

Download "EE 447 VLSI Design. Lecture 8: Circuit Families. EE 447 VLSI Design"

Transkript

1 EE 447 VLSI Design Lecture 8: Circuit Families

2 Outline Pseudo-nMOS Logic Dynamic Logic Pass Transistor Logic 2

3 Introduction What makes a circuit fast? I C dv/dt -> t pd (C/I) ΔV low capacitance high current small swing Logical effort is proportional to C/I pmos are the enemy! High capacitance for a given current B Can we take the pmos capacitance off the input? Various circuit families try to do this 4 4 3

4 Pseudo-nMOS In the old days, nmos processes had no pmos Instead, use pull-up transistor that is always ON In CMOS, use a pmos that is always ON Ratio issue Make pmos about ¼ effective strength of pulldown.8 network load P/2.2 I ds V 0.9 V out out 0.6 V in 6/2.5 P P 4 P V in 4

5 Pseudo-nMOS Gates Design for unit current on output to compare with unit inverter. pmos fights nmos inputs f Inverter NND2 NOR2 g u g avg p u p avg B g u g avg p u p avg B g u g avg p u p avg 5

6 Pseudo-nMOS Gates Design for unit current on output to compare with unit inverter. pmos fights nmos inputs f Inverter NND2 NOR2 2/3 4/3 g u g avg p u p avg B 2/3 8/3 8/3 g u g avg p u p avg 2/3 4/3 B 4/3 g u g avg p u p avg 6

7 Pseudo-nMOS Gates Design for unit current on output to compare with unit inverter. pmos fights nmos inputs f Inverter NND2 NOR2 2/3 4/3 g u 4/3 4/9 g avg 8/9 p u p avg B 2/3 8/3 8/3 g u 8/3 8/9 g avg 6/9 p u p avg 2/3 4/3 B 4/3 g u 4/3 4/9 g avg 8/9 p u p avg 7

8 Pseudo-nMOS Gates Design for unit current on output to compare with unit inverter. pmos fights nmos inputs f Inverter NND2 NOR2 2/3 4/3 g u 4/3 4/9 g avg 8/9 p u 6/3 6/9 p avg 2/9 B 2/3 8/3 8/3 g u 8/3 8/9 g avg 6/9 p u 0/3 0/9 p avg 20/9 2/3 4/3 B 4/3 g u 4/3 4/9 g avg 8/9 p u 0/3 0/9 p avg 20/9 8

9 Pseudo-nMOS Design Ex: Design a k-input ND gate using pseudo-nmos. Estimate the delay driving a fanout of H Pseudo-nMOS G F P In In k H N D 9

10 Pseudo-nMOS Design Ex: Design a k-input ND gate using pseudo-nmos. Estimate the delay driving a fanout of H G * 8/9 8/9 F GBH 8H/9 P + (4+8k)/9 (8k+3)/9 In In k Pseudo-nMOS H N 2 D NF /N + P 4 2H 8k

11 Pseudo-nMOS Power Pseudo-nMOS draws power whenever 0 Called static power P I V DD few m / gate * M gates would be a problem This is why nmos went extinct! Use pseudo-nmos sparingly for wide NORs Turn off pmos when not in use en B C

12 Dynamic Logic Dynamic gates uses a clocked pmos pullup Two modes: precharge and evaluate 2 2/3 4/3 Static Pseudo-nMOS Dynamic Precharge Evaluate Precharge 2

13 The Foot What if pulldown network is ON during precharge? Use series evaluation transistor to prevent fight. precharge transistor inputs f inputs f foot footed unfooted 3

14 Logical Effort Inverter NND2 NOR2 unfooted B 2 2 B footed 3 2 B 3 2 B

15 Logical Effort Inverter NND2 NOR2 unfooted /3 2/3 B 2 2 2/3 3/3 B /3 3/3 footed 3 2 B 3 2 B 2 2/3 3/3 2 3/3 3 4/3 2 2/3 5/3 5

16 Monotonicity Dynamic gates require monotonically rising inputs during evaluation 0 -> 0 0 -> -> But not -> 0 violates monotonicity during evaluation Precharge Evaluate Precharge Output should rise but does not 6

17 Monotonicity Woes But dynamic gates produce monotonically falling outputs during evaluation Illegal for one dynamic gate to drive another! X Precharge Evaluate X Precharge 7

18 Monotonicity Woes But dynamic gates produce monotonically falling outputs during evaluation Illegal for one dynamic gate to drive another! X Precharge Evaluate X Precharge X monotonically falls during evaluation should rise but cannot 8

19 Domino Gates Follow dynamic stage with inverting static gate Dynamic / static pair is called domino gate Produces monotonic outputs Precharge Evaluate Precharge domino ND W W X Z X B C Z dynamic NND static inverter B W H C X H X Z B C Z 9

20 Domino Optimizations Each domino gate triggers next one, like a string of dominos toppling over Gates evaluate sequentially but precharge in parallel Thus evaluation is more critical than precharge HI-skewed static stages can perform logic S0 S S2 S3 D0 D D2 D3 H S4 S5 S6 S7 D4 D5 D6 D7 20

21 Dual-Rail Domino Domino only performs noninverting functions: ND, OR but not NND, NOR, or XOR Dual-rail domino solves this problem Takes true and complementary inputs Produces true and complementary outputs sig_h sig_l Meaning 0 0 Prechar ged 0 0 _l inputs f f _h 0 invalid 2

22 Example: ND/NND Given _h, _l, B_h, B_l Compute _h * B, _l ~( * B) 22

23 Example: ND/NND Given _h, _l, B_h, B_l Compute _h * B, _l ~( * B) Pulldown networks are conduction complements _l *B _h _h *B _l B_l B_h 23

24 Example: XOR/XNOR Sometimes possible to share transistors _l xnor B _h _l _l _h _h xor B B_l B_h 24

25 Leakage Dynamic node floats high during evaluation Transistors are leaky (I OFF 0) Dynamic value will leak away over time Formerly miliseconds, now nanoseconds! Use keeper to hold dynamic node Must be weak enough not to fight evaluation weak keeper k X H

26 Charge Sharing Dynamic gates suffer from charge sharing B 0 x C x C x 26

27 Charge Sharing Dynamic gates suffer from charge sharing B 0 x C x C Charge sharing noise x V x V 27

28 Charge Sharing Dynamic gates suffer from charge sharing B 0 x C x C Charge sharing noise x C V V V x DD Cx + C 28

29 Secondary Precharge Solution: add secondary precharge transistors Typically need to precharge every other node Big load capacitance C helps as well x secondary precharge transistor B 29

30 Noise Sensitivity Dynamic gates are very sensitive to noise Inputs: V IH V tn Outputs: floating output susceptible noise Noise sources Capacitive crosstalk Charge sharing Power supply noise Feedthrough noise nd more! 30

31 Domino Summary Domino logic is attractive for high-speed circuits.5 2x faster than static CMOS But many challenges: Monotonicity Leakage Charge sharing Noise Widely used in high-performance microprocessors 3

32 Pass Transistor Circuits Use pass transistors like switches to do logic Inputs drive diffusion terminals as well as gates CMOS + Transmission Gates: 2-input multiplexer Gates should be restoring S S B S B S S S 32

33 LEP LEn integration with Pass transistors Get rid of pmos transistors Use weak pmos feedback to pull fully high Ratio constraint S S L B 33

34 CPL Complementary Pass-transistor Logic Dual-rail form of pass transistor logic voids need for ratioed feedback Optional cross-coupling for rail-to-rail swing S S L B S S L B 34

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1 VLSI Design DC & Transient Response 4: DC and Transient Response 1 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation 4: DC and Transient Response DC Response DC Response:

Læs mere

Opera Ins. Model: MI5722 Product Name: Pure Sine Wave Inverter 1000W 12VDC/230 30A Solar Regulator

Opera Ins. Model: MI5722 Product Name: Pure Sine Wave Inverter 1000W 12VDC/230 30A Solar Regulator Opera Ins Model: MI5722 Product Name: Pure Sine Wave Inverter 1000W 12VDC/230 30A Solar Regulator I.Precautions 1. Keep the product away from children to avoid children playing it as a toy and resultinginpersonalinjury.

Læs mere

Basic statistics for experimental medical researchers

Basic statistics for experimental medical researchers Basic statistics for experimental medical researchers Sample size calculations September 15th 2016 Christian Pipper Department of public health (IFSV) Faculty of Health and Medicinal Science (SUND) E-mail:

Læs mere

Last Lecture CS Amp. I D V B M 2. I bias. A v. V out. V in. Simplified Schematic. Practical Implementation V GS

Last Lecture CS Amp. I D V B M 2. I bias. A v. V out. V in. Simplified Schematic. Practical Implementation V GS Output Range INEL 565 Analog Circuit Design 1/30/019 Last Lecture CS Amp. I D off ohmic sat sat ohmic ohmic I bias V B I bias V dd V dd -V ov A v Simplified Schematic Practical Implementation V ov1 V th

Læs mere

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU OUTLINE INEFFICIENCY OF ATTILA WAYS TO PARALLELIZE LOW COMPATIBILITY IN THE COMPILATION A SOLUTION

Læs mere

Verilog HDL. Presented by: Amir Masoud Gharehbaghi

Verilog HDL. Presented by: Amir Masoud Gharehbaghi Verilog HDL Presented by: Amir Masoud Gharehbaghi Email: amgh@mehr.sharif.edu Design Hierarchy Design Specification & Requirements Behavioral Design Register Transfer Level (RTL) Design Logic Design Circuit

Læs mere

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1 Project Step 7 Behavioral modeling of a dual ported register set. Copyright 2006 - Joanne DeGroat, ECE, OSU 1 The register set Register set specifications 16 dual ported registers each with 16- bit words

Læs mere

EE 447 VLSI Design. Lecture 5: Wires

EE 447 VLSI Design. Lecture 5: Wires EE 447 VLSI Design Lecture 5: Wires Outline Introduction Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters 2 Introduction Chips are mostly made of wires called interconnect

Læs mere

ECE 551: Digital System * Design & Synthesis Lecture Set 5

ECE 551: Digital System * Design & Synthesis Lecture Set 5 ECE 551: Digital System * Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) 5.2: Verilog Simulation I/O and 2001 Standard (In Separate File) 3/4/2003 1 ECE

Læs mere

Privat-, statslig- eller regional institution m.v. Andet Added Bekaempelsesudfoerende: string No Label: Bekæmpelsesudførende

Privat-, statslig- eller regional institution m.v. Andet Added Bekaempelsesudfoerende: string No Label: Bekæmpelsesudførende Changes for Rottedatabasen Web Service The coming version of Rottedatabasen Web Service will have several changes some of them breaking for the exposed methods. These changes and the business logic behind

Læs mere

E-PAD Bluetooth hængelås E-PAD Bluetooth padlock E-PAD Bluetooth Vorhängeschloss

E-PAD Bluetooth hængelås E-PAD Bluetooth padlock E-PAD Bluetooth Vorhängeschloss E-PAD Bluetooth hængelås E-PAD Bluetooth padlock E-PAD Bluetooth Vorhängeschloss Brugervejledning (side 2-6) Userguide (page 7-11) Bedienungsanleitung 1 - Hvordan forbinder du din E-PAD hængelås med din

Læs mere

ME6212. High Speed LDO Regulators, High PSRR, Low noise, ME6212 Series. General Description. Typical Application. Package

ME6212. High Speed LDO Regulators, High PSRR, Low noise, ME6212 Series. General Description. Typical Application. Package High Speed LDO Regulators, High PSRR, Low noise, Series General Description The series are highly accurate, low noise, CMOS LDO Voltage Regulators. Offering low output noise, high ripple rejection ratio,

Læs mere

Engelsk. Niveau D. De Merkantile Erhvervsuddannelser September Casebaseret eksamen. og

Engelsk. Niveau D. De Merkantile Erhvervsuddannelser September Casebaseret eksamen.  og 052431_EngelskD 08/09/05 13:29 Side 1 De Merkantile Erhvervsuddannelser September 2005 Side 1 af 4 sider Casebaseret eksamen Engelsk Niveau D www.jysk.dk og www.jysk.com Indhold: Opgave 1 Presentation

Læs mere

Black Jack --- Review. Spring 2012

Black Jack --- Review. Spring 2012 Black Jack --- Review Spring 2012 Simulation Simulation can solve real-world problems by modeling realworld processes to provide otherwise unobtainable information. Computer simulation is used to predict

Læs mere

Vina Nguyen HSSP July 13, 2008

Vina Nguyen HSSP July 13, 2008 Vina Nguyen HSSP July 13, 2008 1 What does it mean if sets A, B, C are a partition of set D? 2 How do you calculate P(A B) using the formula for conditional probability? 3 What is the difference between

Læs mere

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication Basic Design Flow System design System/Architectural Design Instruction set for processor Hardware/software partition Memory, cache Logic design Logic Design Logic synthesis Logic optimization Technology

Læs mere

Engineering of Chemical Register Machines

Engineering of Chemical Register Machines Prague International Workshop on Membrane Computing 2008 R. Fassler, T. Hinze, T. Lenser and P. Dittrich {raf,hinze,thlenser,dittrich}@minet.uni-jena.de 2. June 2008 Outline 1 Motivation Goal Realization

Læs mere

RoE timestamp and presentation time in past

RoE timestamp and presentation time in past RoE timestamp and presentation time in past Jouni Korhonen Broadcom Ltd. 5/26/2016 9 June 2016 IEEE 1904 Access Networks Working Group, Hørsholm, Denmark 1 Background RoE 2:24:6 timestamp was recently

Læs mere

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Generalized Probit Model in Design of Dose Finding Experiments Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Outline Motivation Generalized probit model Utility function Locally optimal designs

Læs mere

Brug sømbrættet til at lave sjove figurer. Lav fx: Få de andre til at gætte, hvad du har lavet. Use the nail board to make funny shapes.

Brug sømbrættet til at lave sjove figurer. Lav fx: Få de andre til at gætte, hvad du har lavet. Use the nail board to make funny shapes. Brug sømbrættet til at lave sjove figurer. Lav f: Et dannebrogsflag Et hus med tag, vinduer og dør En fugl En bil En blomst Få de andre til at gætte, hvad du har lavet. Use the nail board to make funn

Læs mere

Engelsk. Niveau C. De Merkantile Erhvervsuddannelser September 2005. Casebaseret eksamen. www.jysk.dk og www.jysk.com.

Engelsk. Niveau C. De Merkantile Erhvervsuddannelser September 2005. Casebaseret eksamen. www.jysk.dk og www.jysk.com. 052430_EngelskC 08/09/05 13:29 Side 1 De Merkantile Erhvervsuddannelser September 2005 Side 1 af 4 sider Casebaseret eksamen Engelsk Niveau C www.jysk.dk og www.jysk.com Indhold: Opgave 1 Presentation

Læs mere

Sikkerhedsvejledning

Sikkerhedsvejledning 11-01-2018 2 Sikkerhedsvejledning VIGTIGT! Venligst læs disse instruktioner inden sengen samles og tages i brug Tjek at alle dele og komponenter er til stede som angivet i vejledningen Fjern alle beslagsdele

Læs mere

talk outline sea bed network deployment issues; energy distribution seabed network issues;

talk outline sea bed network deployment issues; energy distribution seabed network issues; talk outline sea bed network deployment issues; energy distribution seabed network issues; data transmission seabed network; seabed network decisional process specification from physics no deployment feasibility

Læs mere

DET KONGELIGE BIBLIOTEK NATIONALBIBLIOTEK OG KØBENHAVNS UNIVERSITETS- BIBLIOTEK. Index

DET KONGELIGE BIBLIOTEK NATIONALBIBLIOTEK OG KØBENHAVNS UNIVERSITETS- BIBLIOTEK. Index DET KONGELIGE Index Download driver... 2 Find the Windows 7 version.... 2 Download the Windows Vista driver.... 4 Extract driver... 5 Windows Vista installation of a printer.... 7 Side 1 af 12 DET KONGELIGE

Læs mere

CS 4390/5387 SOFTWARE V&V LECTURE 5 BLACK-BOX TESTING - 2

CS 4390/5387 SOFTWARE V&V LECTURE 5 BLACK-BOX TESTING - 2 1 CS 4390/5387 SOFTWARE V&V LECTURE 5 BLACK-BOX TESTING - 2 Outline 2 HW Solution Exercise (Equivalence Class Testing) Exercise (Decision Table Testing) Pairwise Testing Exercise (Pairwise Testing) 1 Homework

Læs mere

Delta Elektronik A/S - AKD

Delta Elektronik A/S - AKD Delta Elektronik A/S - AKD Hardware og type oversigt Grundlæggende oplysninger med forbindelser Opsætning af IP adresser på drev alle muligheder Gennemgang af WorkBench Up/Down load parametre filer Mest

Læs mere

How Long Is an Hour? Family Note HOME LINK 8 2

How Long Is an Hour? Family Note HOME LINK 8 2 8 2 How Long Is an Hour? The concept of passing time is difficult for young children. Hours, minutes, and seconds are confusing; children usually do not have a good sense of how long each time interval

Læs mere

QUICK START Updated:

QUICK START Updated: QUICK START Updated: 24.08.2018 For at komme hurtigt og godt igang med dine nye Webstech produkter, anbefales at du downloader den senest opdaterede QuickStart fra vores hjemmeside: In order to get started

Læs mere

IBM Network Station Manager. esuite 1.5 / NSM Integration. IBM Network Computer Division. tdc - 02/08/99 lotusnsm.prz Page 1

IBM Network Station Manager. esuite 1.5 / NSM Integration. IBM Network Computer Division. tdc - 02/08/99 lotusnsm.prz Page 1 IBM Network Station Manager esuite 1.5 / NSM Integration IBM Network Computer Division tdc - 02/08/99 lotusnsm.prz Page 1 New esuite Settings in NSM The Lotus esuite Workplace administration option is

Læs mere

QUICK START Updated: 18. Febr. 2014

QUICK START Updated: 18. Febr. 2014 QUICK START Updated: 18. Febr. 2014 For at komme hurtigt og godt igang med dine nye Webstech produkter, anbefales at du downloader den senest opdaterede QuickStart fra vores hjemmeside: In order to get

Læs mere

USERTEC USER PRACTICES, TECHNOLOGIES AND RESIDENTIAL ENERGY CONSUMPTION

USERTEC USER PRACTICES, TECHNOLOGIES AND RESIDENTIAL ENERGY CONSUMPTION USERTEC USER PRACTICES, TECHNOLOGIES AND RESIDENTIAL ENERGY CONSUMPTION P E R H E I S E L BERG I N S T I T U T F OR BYGGERI OG A N L Æ G BEREGNEDE OG FAKTISKE FORBRUG I BOLIGER Fra SBi rapport 2016:09

Læs mere

Aktivering af Survey funktionalitet

Aktivering af Survey funktionalitet Surveys i REDCap REDCap gør det muligt at eksponere ét eller flere instrumenter som et survey (spørgeskema) som derefter kan udfyldes direkte af patienten eller forsøgspersonen over internettet. Dette

Læs mere

DK - Quick Text Translation. HEYYER Net Promoter System Magento extension

DK - Quick Text Translation. HEYYER Net Promoter System Magento extension DK - Quick Text Translation HEYYER Net Promoter System Magento extension Version 1.0 15-11-2013 HEYYER / Email Templates Invitation Email Template Invitation Email English Dansk Title Invitation Email

Læs mere

Breaking Industrial Ciphers at a Whim MATE SOOS PRESENTATION AT HES 11

Breaking Industrial Ciphers at a Whim MATE SOOS PRESENTATION AT HES 11 Breaking Industrial Ciphers at a Whim MATE SOOS PRESENTATION AT HES 11 Story line 1 HiTag2: reverse-engineered proprietary cipher 2 Analytic tools are needed to investigate them 3 CryptoMiniSat: free software

Læs mere

Timetable will be aviable after sep. 5. when the sing up ends. Provicius timetable on the next sites.

Timetable will be aviable after sep. 5. when the sing up ends. Provicius timetable on the next sites. English Information about the race. Practise Friday oct. 9 from 12.00 to 23.00 Saturday oct. 10. door open at 8.00 to breakfast/coffee Both days it will be possible to buy food and drinks in the racecenter.

Læs mere

To the reader: Information regarding this document

To the reader: Information regarding this document To the reader: Information regarding this document All text to be shown to respondents in this study is going to be in Danish. The Danish version of the text (the one, respondents are going to see) appears

Læs mere

Linear Programming ١ C H A P T E R 2

Linear Programming ١ C H A P T E R 2 Linear Programming ١ C H A P T E R 2 Problem Formulation Problem formulation or modeling is the process of translating a verbal statement of a problem into a mathematical statement. The Guidelines of formulation

Læs mere

CHAPTER 8: USING OBJECTS

CHAPTER 8: USING OBJECTS Ruby: Philosophy & Implementation CHAPTER 8: USING OBJECTS Introduction to Computer Science Using Ruby Ruby is the latest in the family of Object Oriented Programming Languages As such, its designer studied

Læs mere

Titel: Barry s Bespoke Bakery

Titel: Barry s Bespoke Bakery Titel: Tema: Kærlighed, kager, relationer Fag: Engelsk Målgruppe: 8.-10.kl. Data om læremidlet: Tv-udsendelse: SVT2, 03-08-2014, 10 min. Denne pædagogiske vejledning indeholder ideer til arbejdet med tema

Læs mere

Blomsten er rød (af Harry Chapin, oversat af Niels Hausgaard)

Blomsten er rød (af Harry Chapin, oversat af Niels Hausgaard) Blomsten er rød (af Harry Chapin, oversat af Niels Hausgaard) På den allerførste skoledag fik de farver og papir. Den lille dreng farved arket fuldt. Han ku bare ik la vær. Og lærerinden sagde: Hvad er

Læs mere

Help / Hjælp

Help / Hjælp Home page Lisa & Petur www.lisapetur.dk Help / Hjælp Help / Hjælp General The purpose of our Homepage is to allow external access to pictures and videos taken/made by the Gunnarsson family. The Association

Læs mere

GUIDE TIL BREVSKRIVNING

GUIDE TIL BREVSKRIVNING GUIDE TIL BREVSKRIVNING APPELBREVE Formålet med at skrive et appelbrev er at få modtageren til at overholde menneskerettighederne. Det er en god idé at lægge vægt på modtagerens forpligtelser over for

Læs mere

28 April 2003 Retrospective: Semicore Visit

28 April 2003 Retrospective: Semicore Visit 28 April 2003 Retrospective: Semicore Visit What is highest growth Industry? Rebuild versus remanufacture Importance of Documentation, blueprinting, spares What are barriers to high uptime? Review Homeworks

Læs mere

Det er muligt at chekce følgende opg. i CodeJudge: og

Det er muligt at chekce følgende opg. i CodeJudge: og Det er muligt at chekce følgende opg. i CodeJudge:.1.7 og.1.14 Exercise 1: Skriv en forløkke, som producerer følgende output: 1 4 9 16 5 36 Bonusopgave: Modificer dit program, så det ikke benytter multiplikation.

Læs mere

UNISONIC TECHNOLOGIES CO.,

UNISONIC TECHNOLOGIES CO., UNISONIC TECHNOLOGIES CO., 3 TERMINAL 1A NEGATIVE VOLTAGE REGULATOR DESCRIPTION 1 TO-263 The UTC series of three-terminal negative regulators are available in TO-263 package and with several fixed output

Læs mere

Statistik for MPH: 7

Statistik for MPH: 7 Statistik for MPH: 7 3. november 2011 www.biostat.ku.dk/~pka/mph11 Attributable risk, bestemmelse af stikprøvestørrelse (Silva: 333-365, 381-383) Per Kragh Andersen 1 Fra den 6. uges statistikundervisning:

Læs mere

Nye fjernvarmesystemer. Svend Svendsen DTU BYG

Nye fjernvarmesystemer. Svend Svendsen DTU BYG Nye fjernvarmesystemer Svend Svendsen DTU BYG ss@byg.dtu.dk 22611854 Fjernvarme i EnergyLab Nordhavn - mine aktiviteter Fleksibel rumvarme i nye etageboliger med gulvvarme: Gulvvarme kan afbrydes i mange

Læs mere

Small Autonomous Devices in civil Engineering. Uses and requirements. By Peter H. Møller Rambøll

Small Autonomous Devices in civil Engineering. Uses and requirements. By Peter H. Møller Rambøll Small Autonomous Devices in civil Engineering Uses and requirements By Peter H. Møller Rambøll BACKGROUND My Background 20+ years within evaluation of condition and renovation of concrete structures Last

Læs mere

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012 Server side Programming Wedesign Forelæsning #8 Recap PHP 1. Development Concept Design Coding Testing 2. Social Media Sharing, Images, Videos, Location etc Integrates with your websites 3. Widgets extend

Læs mere

Hvor er mine runde hjørner?

Hvor er mine runde hjørner? Hvor er mine runde hjørner? Ofte møder vi fortvivlelse blandt kunder, når de ser deres nye flotte site i deres browser og indser, at det ser anderledes ud, i forhold til det design, de godkendte i starten

Læs mere

IPv6 Application Trial Services. 2003/08/07 Tomohide Nagashima Japan Telecom Co., Ltd.

IPv6 Application Trial Services. 2003/08/07 Tomohide Nagashima Japan Telecom Co., Ltd. IPv6 Application Trial Services 2003/08/07 Tomohide Nagashima Japan Telecom Co., Ltd. Outline Our Trial Service & Technology Details Activity & Future Plan 2 Outline Our Trial Service & Technology Details

Læs mere

Bilag 8. TDC technical requirements for approval of splitterfilters and inline filters intended for shared access (ADSL or VDSL over POTS).

Bilag 8. TDC technical requirements for approval of splitterfilters and inline filters intended for shared access (ADSL or VDSL over POTS). Bilag 8. TDC technical requirements for approval of splitters and inline s intended for shared access (ADSL or VDSL over POTS). Dette bilag udgør bilag 8 til det mellem parterne tiltrådte Produkttillæg

Læs mere

Ventilation. Du skal selv være med til at holde din lejlighed sund You are responsible too: keep your apartment healthy

Ventilation. Du skal selv være med til at holde din lejlighed sund You are responsible too: keep your apartment healthy Ventilation Du skal selv være med til at holde din lejlighed sund You are responsible too: keep your apartment healthy Ventilation Ventilation Din lejlighed er renoveret, for at du skal have et sundt indeklima.

Læs mere

Velkommen til IFF QA erfa møde d. 15. marts Erfaringer med miljømonitorering og tolkning af nyt anneks 1.

Velkommen til IFF QA erfa møde d. 15. marts Erfaringer med miljømonitorering og tolkning af nyt anneks 1. Velkommen til IFF QA erfa møde d. 15. marts 2018 Erfaringer med miljømonitorering og tolkning af nyt anneks 1. 1 Fast agenda kl.16.30-18.00 1. Nyt fra kurser, seminarer, myndighedsinspektioner, audit som

Læs mere

Bookingmuligheder for professionelle brugere i Dansehallerne 2015-16

Bookingmuligheder for professionelle brugere i Dansehallerne 2015-16 Bookingmuligheder for professionelle brugere i Dansehallerne 2015-16 Modtager man økonomisk støtte til et danseprojekt, har en premieredato og er professionel bruger af Dansehallerne har man mulighed for

Læs mere

l i n d a b presentation CMD 07 Business area Ventilation

l i n d a b presentation CMD 07 Business area Ventilation l i n d a b presentation CMD 07 Business area Ventilation 1 Ventilation Sales LTM June 4 097 MSEK EBIT LTM June 449 MSEK 11,0% Two Divisions ADS 85% Comfort 15% YTD June 07 % Sales +31 Nordic countries

Læs mere

Applications. Computational Linguistics: Jordan Boyd-Graber University of Maryland RL FOR MACHINE TRANSLATION. Slides adapted from Phillip Koehn

Applications. Computational Linguistics: Jordan Boyd-Graber University of Maryland RL FOR MACHINE TRANSLATION. Slides adapted from Phillip Koehn Applications Slides adapted from Phillip Koehn Computational Linguistics: Jordan Boyd-Graber University of Maryland RL FOR MACHINE TRANSLATION Computational Linguistics: Jordan Boyd-Graber UMD Applications

Læs mere

Listen Mr Oxford Don, Additional Work

Listen Mr Oxford Don, Additional Work 57 (104) Listen Mr Oxford Don, Additional Work Listen Mr Oxford Don Crosswords Across 1 Attack someone physically or emotionally (7) 6 Someone who helps another person commit a crime (9) 7 Rob at gunpoint

Læs mere

Løsning af skyline-problemet

Løsning af skyline-problemet Løsning af skyline-problemet Keld Helsgaun RUC, oktober 1999 Efter at have overvejet problemet en stund er min første indskydelse, at jeg kan opnå en løsning ved at tilføje en bygning til den aktuelle

Læs mere

Skriftlig Eksamen Beregnelighed (DM517)

Skriftlig Eksamen Beregnelighed (DM517) Skriftlig Eksamen Beregnelighed (DM517) Institut for Matematik & Datalogi Syddansk Universitet Mandag den 7 Januar 2008, kl. 9 13 Alle sædvanlige hjælpemidler (lærebøger, notater etc.) samt brug af lommeregner

Læs mere

Special VFR. - ved flyvning til mindre flyveplads uden tårnkontrol som ligger indenfor en kontrolzone

Special VFR. - ved flyvning til mindre flyveplads uden tårnkontrol som ligger indenfor en kontrolzone Special VFR - ved flyvning til mindre flyveplads uden tårnkontrol som ligger indenfor en kontrolzone SERA.5005 Visual flight rules (a) Except when operating as a special VFR flight, VFR flights shall be

Læs mere

The complete construction for copying a segment, AB, is shown above. Describe each stage of the process.

The complete construction for copying a segment, AB, is shown above. Describe each stage of the process. A a compass, a straightedge, a ruler, patty paper B C A Stage 1 Stage 2 B C D Stage 3 The complete construction for copying a segment, AB, is shown above. Describe each stage of the process. Use a ruler

Læs mere

Date of issue Fremstillingsdato. Machine no , Maskinnr. ALSCO SAN DIEGO

Date of issue Fremstillingsdato. Machine no , Maskinnr. ALSCO SAN DIEGO JENSEN CLASSIC FOLDER JENSEN MAX STACKER MANUAL MANUAL Date of issue 2005.11.04 Fremstillingsdato Machine no. 760790, 492147 Maskinnr. Distributor / Forhandler Project Manufacturer / Fabrikant JENSEN USA

Læs mere

IPTV Box (MAG250/254) Bruger Manual

IPTV Box (MAG250/254) Bruger Manual IPTV Box (MAG250/254) Bruger Manual Når din STB (Set top Box) starter op, bliver der vist en pop up boks på skærmen, hvor du kan åbne EPG ved at trykke på F2 (Nogle bokse kan fortælle at den har brug for

Læs mere

DoodleBUGS (Hands-on)

DoodleBUGS (Hands-on) DoodleBUGS (Hands-on) Simple example: Program: bino_ave_sim_doodle.odc A simulation example Generate a sample from F=(r1+r2)/2 where r1~bin(0.5,200) and r2~bin(0.25,100) Note that E(F)=(100+25)/2=62.5

Læs mere

Resource types R 1 1, R 2 2,..., R m CPU cycles, memory space, files, I/O devices Each resource type R i has W i instances.

Resource types R 1 1, R 2 2,..., R m CPU cycles, memory space, files, I/O devices Each resource type R i has W i instances. System Model Resource types R 1 1, R 2 2,..., R m CPU cycles, memory space, files, I/O devices Each resource type R i has W i instances. Each process utilizes a resource as follows: request use e.g., request

Læs mere

Skriftlig Eksamen Kombinatorik, Sandsynlighed og Randomiserede Algoritmer (DM528)

Skriftlig Eksamen Kombinatorik, Sandsynlighed og Randomiserede Algoritmer (DM528) Skriftlig Eksamen Kombinatorik, Sandsynlighed og Randomiserede Algoritmer (DM58) Institut for Matematik og Datalogi Syddansk Universitet, Odense Torsdag den 1. januar 01 kl. 9 13 Alle sædvanlige hjælpemidler

Læs mere

De tre høringssvar findes til sidst i dette dokument (Bilag 1, 2 og 3). I forlængelse af de indkomne kommentarer bemærkes følgende:

De tre høringssvar findes til sidst i dette dokument (Bilag 1, 2 og 3). I forlængelse af de indkomne kommentarer bemærkes følgende: NOTAT VEDR. HØRINGSSVAR København 2018.10.26 BAGGRUND: Kommunalbestyrelsen i Frederiksberg Kommune vedtog den 18. april 2016 at igangsætte processen omkring etablering af et fælles gårdanlæg i karré 41,

Læs mere

Bilag. Resume. Side 1 af 12

Bilag. Resume. Side 1 af 12 Bilag Resume I denne opgave, lægges der fokus på unge og ensomhed gennem sociale medier. Vi har i denne opgave valgt at benytte Facebook som det sociale medie vi ligger fokus på, da det er det største

Læs mere

extreme Programming Kunders og udvikleres menneskerettigheder

extreme Programming Kunders og udvikleres menneskerettigheder extreme Programming Software Engineering 13 1 Kunders og udvikleres menneskerettigheder Kunder: At sætte mål og få projektet til at følge dem At kende varighed og pris At bestemme softwarefunktionalitet

Læs mere

Status på det trådløse netværk

Status på det trådløse netværk Status på det trådløse netværk Der er stadig problemer med det trådløse netværk, se status her: http://driftstatus.sdu.dk/?f=&antal=200&driftid=1671#1671 IT-service arbejder stadig med at løse problemerne

Læs mere

Kalkulation: Hvordan fungerer tal? Jan Mouritsen, professor Institut for Produktion og Erhvervsøkonomi

Kalkulation: Hvordan fungerer tal? Jan Mouritsen, professor Institut for Produktion og Erhvervsøkonomi Kalkulation: Hvordan fungerer tal? Jan Mouritsen, professor Institut for Produktion og Erhvervsøkonomi Udbud d af kalkulationsmetoder l t Economic Value Added, Balanced Scorecard, Activity Based Costing,

Læs mere

WIO200A INSTALLATIONS MANUAL Rev Dato:

WIO200A INSTALLATIONS MANUAL Rev Dato: WIO200A INSTALLATIONS MANUAL 111686-903 Rev. 1.01 Dato: 10.01.2013 Side 1 af 14 Contents Contents... 2 Introduction... 3 Pin assignment of the terminal box connector for customer... 4 Pin assignment of

Læs mere

Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt

Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt Passage 1 Passage 2 Passage 3 Passage 4 Passage 5 27 Bilag 2 Engelsk beskrivelse af S4-måleren InterOcean S4 Current Meter The S4 Electromagnetic

Læs mere

X M Y. What is mediation? Mediation analysis an introduction. Definition

X M Y. What is mediation? Mediation analysis an introduction. Definition What is mediation? an introduction Ulla Hvidtfeldt Section of Social Medicine - Investigate underlying mechanisms of an association Opening the black box - Strengthen/support the main effect hypothesis

Læs mere

Teknologispredning i sundhedsvæsenet DK ITEK: Sundhedsteknologi som grundlag for samarbejde og forretningsudvikling

Teknologispredning i sundhedsvæsenet DK ITEK: Sundhedsteknologi som grundlag for samarbejde og forretningsudvikling Teknologispredning i sundhedsvæsenet DK ITEK: Sundhedsteknologi som grundlag for samarbejde og forretningsudvikling 6.5.2009 Jacob Schaumburg-Müller jacobs@microsoft.com Direktør, politik og strategi Microsoft

Læs mere

LED STAR PIN G4 BASIC INFORMATION: Series circuit. Parallel circuit. www.osram.com 1. HOW CAN I UNDERSTAND THE FOLLOWING SHEETS?

LED STAR PIN G4 BASIC INFORMATION: Series circuit. Parallel circuit. www.osram.com 1. HOW CAN I UNDERSTAND THE FOLLOWING SHEETS? BASIC INFORMATION: 1. HOW CAN I UNDERSTAND THE FOLLOWING SHES? Compatibility to OSRAM s: -Series Circuit... Page 2 -Parallel Circuit... Page 3 Compatibility to OTHER s : -Series Circuit... Page 4 -Parallel

Læs mere

Titel Stutterer. Data om læremidlet: Tv-udsendelse 1: Stutterer Kortfilm SVT 2, , 14 minutter

Titel Stutterer. Data om læremidlet: Tv-udsendelse 1: Stutterer Kortfilm SVT 2, , 14 minutter Pædagogisk vejledning Titel Stutterer Tema: kærlighed Fag: Engelsk Målgruppe: 8.-10.kl. QR-koden fører til posten i mitcfu Data om læremidlet: Tv-udsendelse 1: Stutterer Kortfilm SVT 2, 11-09-2016, 14

Læs mere

Part 5 Leisure Time and Transport

Part 5 Leisure Time and Transport Part 5 Leisure Time and Transport Lesson 3 Situation and Listen & Practice Situation Line and Louise are colleagues. They meet at a café before work. Line is late because h bike had a puncture on the way.

Læs mere

Statistik for MPH: oktober Attributable risk, bestemmelse af stikprøvestørrelse (Silva: , )

Statistik for MPH: oktober Attributable risk, bestemmelse af stikprøvestørrelse (Silva: , ) Statistik for MPH: 7 29. oktober 2015 www.biostat.ku.dk/~pka/mph15 Attributable risk, bestemmelse af stikprøvestørrelse (Silva: 333-365, 381-383) Per Kragh Andersen 1 Fra den 6. uges statistikundervisning:

Læs mere

Hvordan vælger jeg dokumentprofilen?

Hvordan vælger jeg dokumentprofilen? Hvordan vælger jeg dokumentprofilen? Valget af OIOUBL profil i en konkret dokumentudveksling vil bl.a. afhænge af, hvilke OIOUBL profiler den anden part i udvekslingen understøtter. Et konkret eksempel

Læs mere

Observation Processes:

Observation Processes: Observation Processes: Preparing for lesson observations, Observing lessons Providing formative feedback Gerry Davies Faculty of Education Preparing for Observation: Task 1 How can we help student-teachers

Læs mere

Cross-Sectorial Collaboration between the Primary Sector, the Secondary Sector and the Research Communities

Cross-Sectorial Collaboration between the Primary Sector, the Secondary Sector and the Research Communities Cross-Sectorial Collaboration between the Primary Sector, the Secondary Sector and the Research Communities B I R G I T T E M A D S E N, P S Y C H O L O G I S T Agenda Early Discovery How? Skills, framework,

Læs mere

The X Factor. Målgruppe. Læringsmål. Introduktion til læreren klasse & ungdomsuddannelser Engelskundervisningen

The X Factor. Målgruppe. Læringsmål. Introduktion til læreren klasse & ungdomsuddannelser Engelskundervisningen The X Factor Målgruppe 7-10 klasse & ungdomsuddannelser Engelskundervisningen Læringsmål Eleven kan give sammenhængende fremstillinger på basis af indhentede informationer Eleven har viden om at søge og

Læs mere

Info og krav til grupper med motorkøjetøjer

Info og krav til grupper med motorkøjetøjer Info og krav til grupper med motorkøjetøjer (English version, see page 4) GENERELT - FOR ALLE TYPER KØRETØJER ØJER GODT MILJØ FOR ALLE Vi ønsker at paraden er en god oplevelse for alle deltagere og tilskuere,

Læs mere

Trolling Master Bornholm 2014

Trolling Master Bornholm 2014 Trolling Master Bornholm 2014 (English version further down) Den ny havn i Tejn Havn Bornholms Regionskommune er gået i gang med at udvide Tejn Havn, og det er med til at gøre det muligt, at vi kan være

Læs mere

Angle Ini/al side Terminal side Vertex Standard posi/on Posi/ve angles Nega/ve angles. Quadrantal angle

Angle Ini/al side Terminal side Vertex Standard posi/on Posi/ve angles Nega/ve angles. Quadrantal angle Mrs. Valentine AFM Objective: I will be able to identify angle types, convert between degrees and radians for angle measures, identify coterminal angles, find the length of an intercepted arc, and find

Læs mere

Sport for the elderly

Sport for the elderly Sport for the elderly - Teenagers of the future Play the Game 2013 Aarhus, 29 October 2013 Ditte Toft Danish Institute for Sports Studies +45 3266 1037 ditte.toft@idan.dk A growing group in the population

Læs mere

Baltic Development Forum

Baltic Development Forum Baltic Development Forum 1 Intelligent Water Management in Cities and Companies developing and implementing innovative solutions to help achieve this objective. Hans-Martin Friis Møller Market and Development

Læs mere

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints Particle-based T-Spline Level Set for 3D Object Reconstruction with Range and Volume Constraints Robert Feichtinger (joint work with Huaiping Yang, Bert Jüttler) Institute of Applied Geometry, JKU Linz

Læs mere

From innovation to market

From innovation to market Nupark Accelerace From innovation to market Public money Accelerace VC Private Equity Stock market Available capital BA 2 What is Nupark Accelerace Hands-on investment and business developmentprograms

Læs mere

KundeCenter Privat FRA KPI TIL FORMÅL

KundeCenter Privat FRA KPI TIL FORMÅL KundeCenter Privat FRA KPI TIL FORMÅL IF KUNDECENTER PRIVAT DANMARK Stamholmen / Hvidovre Kolding Hvorfor Eksisterer If? Rolig, vi hjælper dig Vores formål: Sikre at vores kunder Er korrekt forsikret og:

Læs mere

Nyhedsmail, december 2013 (scroll down for English version)

Nyhedsmail, december 2013 (scroll down for English version) Nyhedsmail, december 2013 (scroll down for English version) Kære Omdeler Julen venter rundt om hjørnet. Og netop julen er årsagen til, at NORDJYSKE Distributions mange omdelere har ekstra travlt med at

Læs mere

Evaluating Germplasm for Resistance to Reniform Nematode. D. B. Weaver and K. S. Lawrence Auburn University

Evaluating Germplasm for Resistance to Reniform Nematode. D. B. Weaver and K. S. Lawrence Auburn University Evaluating Germplasm for Resistance to Reniform Nematode D. B. Weaver and K. S. Lawrence Auburn University Major objectives Evaluate all available accessions of G. hirsutum (TX list) for reaction to reniform

Læs mere

Improving Interdisciplinary Education of Anatomy, Practical Sports using Non-Profit Software

Improving Interdisciplinary Education of Anatomy, Practical Sports using Non-Profit Software Improving Interdisciplinary Education of Anatomy, Biomechanics and Practical Sports using Non-Profit Software Interdeciplinary Sports Biomechanics Anatomy How to improve interdeciplinary? Examples in biomechanics

Læs mere

Department of Public Health. Case-control design. Katrine Strandberg-Larsen Department of Public Health, Section of Social Medicine

Department of Public Health. Case-control design. Katrine Strandberg-Larsen Department of Public Health, Section of Social Medicine Department of Public Health Case-control design Katrine Strandberg-Larsen Department of Public Health, Section of Social Medicine Case-control design Brief summary: Comparison of cases vs. controls with

Læs mere

FOREBYGGELSE AF ARBEJDSULYKKER I DONG OIL & GAS

FOREBYGGELSE AF ARBEJDSULYKKER I DONG OIL & GAS FOREBYGGELSE AF ARBEJDSULYKKER I DONG OIL & GAS I-BAR Arbejdsmiljø Topmøde 26. oktober 2016 Jacob Heinricy Jensen, Head of QHSE, DONG Oil & Gas Introduktion DONG Oil & Gas og vores nuværende performance

Læs mere

Our activities. Dry sales market. The assortment

Our activities. Dry sales market. The assortment First we like to start to introduce our activities. Kébol B.V., based in the heart of the bulb district since 1989, specialises in importing and exporting bulbs world-wide. Bulbs suitable for dry sale,

Læs mere

INSTALLATION INSTRUCTIONS STILLEN FRONT BRAKE COOLING DUCTS NISSAN 370Z P/N /308960!

INSTALLATION INSTRUCTIONS STILLEN FRONT BRAKE COOLING DUCTS NISSAN 370Z P/N /308960! Materials supplied: 1. (10) Zip Ties 2. (4) Hose Clamps 3. (2) Brake Duct Hose 4. (2) Brake Shields 5. (2) Front Brake Ducts ( Stock Fascia Only ) 6. (2) Washers 1 OD ( Stock Fascia Only ) 7. (8) Shims

Læs mere

Dynamic Voltage and Frequency Management Based on Variable Update Intervals

Dynamic Voltage and Frequency Management Based on Variable Update Intervals Dynamic Voltage and Frequency Management Based on Variable Update Intervals for Frequency Setting Mehrdad Najibi Mostafa Salehi Ali Afzali Kusha Massoud Pedram S. Mehdi Fakhraie Hossein Pedram Presentation

Læs mere