Modeling levels. Levels of hardware modeling. Possible set of levels (others exist)

Størrelse: px
Starte visningen fra side:

Download "Modeling levels. Levels of hardware modeling. Possible set of levels (others exist)"

Transkript

1 pm2 2 Modeling levels Embedded System Design Kluwer Academic Publisher by Peter Marwedel TU Dortmund 2008// ine Marwedel, 2003 Graphics: Alexandra Nolte, Ges Levels of hardware modeling Possible set of levels (others exist) System level Algorithmic level Instruction set level Register-transfer level (RTL) Gate-level models Switch-level models Circuit-level models Device-level models Layout models Process and device models 2,

2 pm3 System level Term not clearly defined. Here: denotes the entire embedded system, system into which information processing is embedded, and possibly also the environment. Models may include mechanics + information processing. May be difficult to find appropriate simulators. Solutions: VHDL-AMS, SystemC or MATLAB. MATLAB+VHDL-AMS support partial differential equations. Challenge to model information processing parts of the system such that the simulation model can be used for the synthesis of the embedded system. 2, Algorithmic level Simulating the algorithms that we intend to use within the embedded system. No reference is made to processors or instruction sets. Data types may still allow a higher precision than the final implementation. If data types have been selected such that every bit corresponds to exactly one bit in the final implementation, the model is said to be bit-true. non-bit-true bit-true should be done with tool support. Single process or sets of cooperating processes. 2,

3 Algorithmic level: Example: -MPEG-4 full motion search - for (z=0; z<20; z++) for (x=0; x<36; x++) {x=4*x; x; for (y=0; y<49; y++) {y=4*y; for (k=0; k<9; k++) {x2=x+k-4; for (l=0; l<9; ) {y2=y+l-4; for (i=0; i<4; i++) {x3=x+i; x4=x2+i; for (j=0; j<4;j++) {y3=y+j; y4=y2+j; if (x3<0 35<x3 y3<0 48<y3) y then_block_; else else_block_; if (x4<0 35<x4 y4<0 48<y4) then_block_2; else else_block_2; }}}}}} 2, Instruction level Algorithms already compiled for the instruction set. Model allows counting the executed number of instructions. Variations: Simulation only of the effect of instructions Transaction-level modeling: each read/write is one transaction, instead of a set of signal assignments Cycle-true simulations: exact number of cycles Bit-true simulations: simulations using exactly the correct number of bits 2,

4 Instruction level: example Assembler (MIPS) and $,$2,$3 or $,$2,$3 Simulated semantics Reg[]:=Reg[2] Reg[3] Reg[]:=Reg[2] Reg[3] andi $,$2,00 Reg[]:=Reg[2] 00 sll $,$2,0 $2 0 Reg[]:=Reg[2] << 0 srl $,$2,0 Reg[]:=Reg[2] >> 0 2, Register transfer level (RTL) Modelling of all components at the register-transfer level, including arithmetic/logic units (ALUs), registers, memories, muxes and decoders. Models at this level are always cycle-true. Automatic synthesis from such models is not a major challenge. 2,

5 Register transfer level: example (MIPS) PCWrite PC B 0 MemWrite MemRead i2 a2 a Memory Instruction register IR IRWrite * 3:26 25:2 20:6 5: 5:0 25:0 Controller RegDest 0 0 RegWrite a3 a2 a Reg Speicher i3 sign_ extend ALUSelB 4 ALUSelA ALUOp ALU rgetwrite Tar T PC CSource * 0 2 "00 3: 28 2, Gate-level models Models contain gates as the basic components. Information about signal transition probabilities can be used for power estimations. Delay calculations can be more precise than for RTL. Typically no information about the length of wires (still estimates). Term sometimes also denotes Boolean functions (No physical gates; only considering i the behavior of the gates). Such models should be called Boolean function models. 2,

6 Gate-level models: Example source: seul.org/ screenshots/ screenshotschem2.png 2, Switch-level models Switch level models use switches (transistors) as their basic components. Switch level models use digital values models. In contrast to gate-level models, switch level models are capable of reflecting bidirectional transfer of information. 2,

7 Switch level model: example Source: 2, Circuit level models: Example Models circuit theory. Its components (current and voltage sources, resistors, capacitances, inductances and possibly macro-models of semiconductors) form the basis of simulations at this level. Simulations involve partial differential equations. Linear if and only if the behavior of semiconductors is linearized. Ideal MOSFET Transistor model 2,

8 Circuit level models: SPICE The most frequently used simulator at this level is SPICE [Vladimirescu, 987] and its variants. Example: 2, Circuit level models: sample simulation results 2,

9 Device level Simulation of a single device (such as a transistor). Example (SPICEsimulation [IMEC]): Measured and simulated currents 2, Layout models Reflect the actual circuit layout, include geometric information, cannot be simulated directly: behavior can be deduced by correlating the layout model with a behavioral description at a higher level or by extracting circuits from the layout. Length of wires and capacitances frequently extracted from the layout, back-annotated to descriptions at higher levels (more precision for delay and power estimations). 2,

10 Layout models: Example powhi din dout powlo Mosis ( mosis.org/technical/ Designsupport/ polyflowc.html); Tool: Cadence 2, Process models Model of fabrication process; Example [IMEC]: Doping as a function of the distance from the surface Simulated Measured 2, 2008 Movie (German)

11 pm Levels covered by the different languages matlab Verilog VHDL System Verilog Vera e Sugar Jeda 2, Comparison of languages Peter Marwedel TU Dortmund, Informatik // ine Marwedel, 2003 Graphics: Alexandra Nolte, Ges

12 Comparison of languages demonstrated Communication/ local computations Shared memory Message passing Synchronous Asynchronous Communicating StateCharts SDL finite state machines Data flow model Not useful Simulink Kahn process networks, SDF Computational graphs Von Neumann model Discrete event (DE) model C, C++, Java VHDL, Sequence diagram, Petri nets C, C++, Java with libraries CSP, ADA Only experimental systems, e.g. distributed DE in Ptolemy 2, Models of computation in Ptolemy. Finite state machines 2. Communicating sequential processes 3. Discrete event model 4. Distributed discrete event model 5. Process networks, including Kahn process networks 6. Synchronous dataflow (SDF) 7. Continuous time 8. Synchronous/reactive models 2,

13 Language Comparison 2, How to cope with language problems in practice? Mixed approaches: 2,

ECE 551: Digital System * Design & Synthesis Lecture Set 5

ECE 551: Digital System * Design & Synthesis Lecture Set 5 ECE 551: Digital System * Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) 5.2: Verilog Simulation I/O and 2001 Standard (In Separate File) 3/4/2003 1 ECE

Læs mere

Verilog HDL. Presented by: Amir Masoud Gharehbaghi

Verilog HDL. Presented by: Amir Masoud Gharehbaghi Verilog HDL Presented by: Amir Masoud Gharehbaghi Email: amgh@mehr.sharif.edu Design Hierarchy Design Specification & Requirements Behavioral Design Register Transfer Level (RTL) Design Logic Design Circuit

Læs mere

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication Basic Design Flow System design System/Architectural Design Instruction set for processor Hardware/software partition Memory, cache Logic design Logic Design Logic synthesis Logic optimization Technology

Læs mere

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1 Project Step 7 Behavioral modeling of a dual ported register set. Copyright 2006 - Joanne DeGroat, ECE, OSU 1 The register set Register set specifications 16 dual ported registers each with 16- bit words

Læs mere

Black Jack --- Review. Spring 2012

Black Jack --- Review. Spring 2012 Black Jack --- Review Spring 2012 Simulation Simulation can solve real-world problems by modeling realworld processes to provide otherwise unobtainable information. Computer simulation is used to predict

Læs mere

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU OUTLINE INEFFICIENCY OF ATTILA WAYS TO PARALLELIZE LOW COMPATIBILITY IN THE COMPILATION A SOLUTION

Læs mere

Basic statistics for experimental medical researchers

Basic statistics for experimental medical researchers Basic statistics for experimental medical researchers Sample size calculations September 15th 2016 Christian Pipper Department of public health (IFSV) Faculty of Health and Medicinal Science (SUND) E-mail:

Læs mere

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1 VLSI Design DC & Transient Response 4: DC and Transient Response 1 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation 4: DC and Transient Response DC Response DC Response:

Læs mere

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Generalized Probit Model in Design of Dose Finding Experiments Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Outline Motivation Generalized probit model Utility function Locally optimal designs

Læs mere

Vina Nguyen HSSP July 13, 2008

Vina Nguyen HSSP July 13, 2008 Vina Nguyen HSSP July 13, 2008 1 What does it mean if sets A, B, C are a partition of set D? 2 How do you calculate P(A B) using the formula for conditional probability? 3 What is the difference between

Læs mere

ECE 551: Digital System Design & Synthesis Lecture Set 5

ECE 551: Digital System Design & Synthesis Lecture Set 5 ECE 551: Digital System Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) (In Separate File) 5.2: Verilog Simulation I/O, Compiler Directives, and 2001 Standard

Læs mere

Applications. Computational Linguistics: Jordan Boyd-Graber University of Maryland RL FOR MACHINE TRANSLATION. Slides adapted from Phillip Koehn

Applications. Computational Linguistics: Jordan Boyd-Graber University of Maryland RL FOR MACHINE TRANSLATION. Slides adapted from Phillip Koehn Applications Slides adapted from Phillip Koehn Computational Linguistics: Jordan Boyd-Graber University of Maryland RL FOR MACHINE TRANSLATION Computational Linguistics: Jordan Boyd-Graber UMD Applications

Læs mere

Strings and Sets: set complement, union, intersection, etc. set concatenation AB, power of set A n, A, A +

Strings and Sets: set complement, union, intersection, etc. set concatenation AB, power of set A n, A, A + Strings and Sets: A string over Σ is any nite-length sequence of elements of Σ The set of all strings over alphabet Σ is denoted as Σ Operators over set: set complement, union, intersection, etc. set concatenation

Læs mere

Skriftlig Eksamen Kombinatorik, Sandsynlighed og Randomiserede Algoritmer (DM528)

Skriftlig Eksamen Kombinatorik, Sandsynlighed og Randomiserede Algoritmer (DM528) Skriftlig Eksamen Kombinatorik, Sandsynlighed og Randomiserede Algoritmer (DM58) Institut for Matematik og Datalogi Syddansk Universitet, Odense Torsdag den 1. januar 01 kl. 9 13 Alle sædvanlige hjælpemidler

Læs mere

Linear Programming ١ C H A P T E R 2

Linear Programming ١ C H A P T E R 2 Linear Programming ١ C H A P T E R 2 Problem Formulation Problem formulation or modeling is the process of translating a verbal statement of a problem into a mathematical statement. The Guidelines of formulation

Læs mere

The GAssist Pittsburgh Learning Classifier System. Dr. J. Bacardit, N. Krasnogor G53BIO - Bioinformatics

The GAssist Pittsburgh Learning Classifier System. Dr. J. Bacardit, N. Krasnogor G53BIO - Bioinformatics The GAssist Pittsburgh Learning Classifier System Dr. J. Bacardit, N. Krasnogor G53BIO - Outline bioinformatics Summary and future directions Objectives of GAssist GAssist [Bacardit, 04] is a Pittsburgh

Læs mere

Statistical information form the Danish EPC database - use for the building stock model in Denmark

Statistical information form the Danish EPC database - use for the building stock model in Denmark Statistical information form the Danish EPC database - use for the building stock model in Denmark Kim B. Wittchen Danish Building Research Institute, SBi AALBORG UNIVERSITY Certification of buildings

Læs mere

Skriftlig Eksamen Beregnelighed (DM517)

Skriftlig Eksamen Beregnelighed (DM517) Skriftlig Eksamen Beregnelighed (DM517) Institut for Matematik & Datalogi Syddansk Universitet Mandag den 7 Januar 2008, kl. 9 13 Alle sædvanlige hjælpemidler (lærebøger, notater etc.) samt brug af lommeregner

Læs mere

On the complexity of drawing trees nicely: corrigendum

On the complexity of drawing trees nicely: corrigendum Acta Informatica 40, 603 607 (2004) Digital Object Identifier (DOI) 10.1007/s00236-004-0138-y On the complexity of drawing trees nicely: corrigendum Thorsten Akkerman, Christoph Buchheim, Michael Jünger,

Læs mere

Unitel EDI MT940 June 2010. Based on: SWIFT Standards - Category 9 MT940 Customer Statement Message (January 2004)

Unitel EDI MT940 June 2010. Based on: SWIFT Standards - Category 9 MT940 Customer Statement Message (January 2004) Unitel EDI MT940 June 2010 Based on: SWIFT Standards - Category 9 MT940 Customer Statement Message (January 2004) Contents 1. Introduction...3 2. General...3 3. Description of the MT940 message...3 3.1.

Læs mere

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints Particle-based T-Spline Level Set for 3D Object Reconstruction with Range and Volume Constraints Robert Feichtinger (joint work with Huaiping Yang, Bert Jüttler) Institute of Applied Geometry, JKU Linz

Læs mere

A multimodel data assimilation framework for hydrology

A multimodel data assimilation framework for hydrology A multimodel data assimilation framework for hydrology Antoine Thiboult, François Anctil Université Laval June 27 th 2017 What is Data Assimilation? Use observations to improve simulation 2 of 8 What is

Læs mere

ESG reporting meeting investors needs

ESG reporting meeting investors needs ESG reporting meeting investors needs Carina Ohm Nordic Head of Climate Change and Sustainability Services, EY DIRF dagen, 24 September 2019 Investors have growing focus on ESG EY Investor Survey 2018

Læs mere

Resource types R 1 1, R 2 2,..., R m CPU cycles, memory space, files, I/O devices Each resource type R i has W i instances.

Resource types R 1 1, R 2 2,..., R m CPU cycles, memory space, files, I/O devices Each resource type R i has W i instances. System Model Resource types R 1 1, R 2 2,..., R m CPU cycles, memory space, files, I/O devices Each resource type R i has W i instances. Each process utilizes a resource as follows: request use e.g., request

Læs mere

Statistik for MPH: 7

Statistik for MPH: 7 Statistik for MPH: 7 3. november 2011 www.biostat.ku.dk/~pka/mph11 Attributable risk, bestemmelse af stikprøvestørrelse (Silva: 333-365, 381-383) Per Kragh Andersen 1 Fra den 6. uges statistikundervisning:

Læs mere

2a. Conceptual Modeling Methods

2a. Conceptual Modeling Methods ICT Enhanced Buildings Potentials IKT og Videnrepræsentationer - ICT and Knowledge Representations. 2a. Conceptual Modeling Methods Cand. Scient. Bygningsinformatik. Semester 2, 2010. CONTENT Conceptual

Læs mere

CHAPTER 8: USING OBJECTS

CHAPTER 8: USING OBJECTS Ruby: Philosophy & Implementation CHAPTER 8: USING OBJECTS Introduction to Computer Science Using Ruby Ruby is the latest in the family of Object Oriented Programming Languages As such, its designer studied

Læs mere

RoE timestamp and presentation time in past

RoE timestamp and presentation time in past RoE timestamp and presentation time in past Jouni Korhonen Broadcom Ltd. 5/26/2016 9 June 2016 IEEE 1904 Access Networks Working Group, Hørsholm, Denmark 1 Background RoE 2:24:6 timestamp was recently

Læs mere

Small Autonomous Devices in civil Engineering. Uses and requirements. By Peter H. Møller Rambøll

Small Autonomous Devices in civil Engineering. Uses and requirements. By Peter H. Møller Rambøll Small Autonomous Devices in civil Engineering Uses and requirements By Peter H. Møller Rambøll BACKGROUND My Background 20+ years within evaluation of condition and renovation of concrete structures Last

Læs mere

Constant Terminal Voltage. Industry Workshop 1 st November 2013

Constant Terminal Voltage. Industry Workshop 1 st November 2013 Constant Terminal Voltage Industry Workshop 1 st November 2013 Covering; Reactive Power & Voltage Requirements for Synchronous Generators and how the requirements are delivered Other countries - A different

Læs mere

LED STAR PIN G4 BASIC INFORMATION: Series circuit. Parallel circuit. www.osram.com 1. HOW CAN I UNDERSTAND THE FOLLOWING SHEETS?

LED STAR PIN G4 BASIC INFORMATION: Series circuit. Parallel circuit. www.osram.com 1. HOW CAN I UNDERSTAND THE FOLLOWING SHEETS? BASIC INFORMATION: 1. HOW CAN I UNDERSTAND THE FOLLOWING SHES? Compatibility to OSRAM s: -Series Circuit... Page 2 -Parallel Circuit... Page 3 Compatibility to OTHER s : -Series Circuit... Page 4 -Parallel

Læs mere

Sign variation, the Grassmannian, and total positivity

Sign variation, the Grassmannian, and total positivity Sign variation, the Grassmannian, and total positivity arxiv:1503.05622 Slides available at math.berkeley.edu/~skarp Steven N. Karp, UC Berkeley FPSAC 2015 KAIST, Daejeon Steven N. Karp (UC Berkeley) Sign

Læs mere

Skriftlig Eksamen Beregnelighed (DM517)

Skriftlig Eksamen Beregnelighed (DM517) Skriftlig Eksamen Beregnelighed (DM517) Institut for Matematik & Datalogi Syddansk Universitet Mandag den 31 Oktober 2011, kl. 9 13 Alle sædvanlige hjælpemidler (lærebøger, notater etc.) samt brug af lommeregner

Læs mere

DET KONGELIGE BIBLIOTEK NATIONALBIBLIOTEK OG KØBENHAVNS UNIVERSITETS- BIBLIOTEK. Index

DET KONGELIGE BIBLIOTEK NATIONALBIBLIOTEK OG KØBENHAVNS UNIVERSITETS- BIBLIOTEK. Index DET KONGELIGE Index Download driver... 2 Find the Windows 7 version.... 2 Download the Windows Vista driver.... 4 Extract driver... 5 Windows Vista installation of a printer.... 7 Side 1 af 12 DET KONGELIGE

Læs mere

Aktivering af Survey funktionalitet

Aktivering af Survey funktionalitet Surveys i REDCap REDCap gør det muligt at eksponere ét eller flere instrumenter som et survey (spørgeskema) som derefter kan udfyldes direkte af patienten eller forsøgspersonen over internettet. Dette

Læs mere

Engineering of Chemical Register Machines

Engineering of Chemical Register Machines Prague International Workshop on Membrane Computing 2008 R. Fassler, T. Hinze, T. Lenser and P. Dittrich {raf,hinze,thlenser,dittrich}@minet.uni-jena.de 2. June 2008 Outline 1 Motivation Goal Realization

Læs mere

Fundamentals of HVAC Control Systems

Fundamentals of HVAC Control Systems ASHRAE Hong Kong Chapter Technical Workshop Fundamentals of HVAC Control Systems 18, 19, 25, 26 April 2007 2007 ASHRAE Hong Kong Chapter Slide 1 Chapter 4 Sensors and Auxiliary Devices 2007 ASHRAE Hong

Læs mere

PMDK PC-Side Basic Function Reference (Version 1.0)

PMDK PC-Side Basic Function Reference (Version 1.0) PMDK PC-Side Basic Function Reference (Version 1.0) http://www.icpdas.com PMDK PC-Side Basic Function Reference V 1.0 1 Warranty All products manufactured by ICPDAS Inc. are warranted against defective

Læs mere

Studieordning del 3,

Studieordning del 3, Studieordning del 3, 2014-2016 Autoteknolog, Valgfri Uddannelseselementer Academy Profession Degree in Automotive Technology Version 0.1 Revideret 19. august 2015 Side 0 af 6 Indhold Studieordningens del

Læs mere

Molio specifications, development and challenges. ICIS DA 2019 Portland, Kim Streuli, Molio,

Molio specifications, development and challenges. ICIS DA 2019 Portland, Kim Streuli, Molio, Molio specifications, development and challenges ICIS DA 2019 Portland, Kim Streuli, Molio, 2019-06-04 Introduction The current structure is challenged by different factors. These are for example : Complex

Læs mere

what is this all about? Introduction three-phase diode bridge rectifier input voltages input voltages, waveforms normalization of voltages voltages?

what is this all about? Introduction three-phase diode bridge rectifier input voltages input voltages, waveforms normalization of voltages voltages? what is this all about? v A Introduction three-phase diode bridge rectifier D1 D D D4 D5 D6 i OUT + v OUT v B i 1 i i + + + v 1 v v input voltages input voltages, waveforms v 1 = V m cos ω 0 t v = V m

Læs mere

PARATHOM / PARATHOM PRO MR16 Electric Transformer Compatibility

PARATHOM / PARATHOM PRO MR16 Electric Transformer Compatibility / PRO MR16 Electric Transformer Compatibility BASIC INFORMATION: 1. HOW CAN I UNDERSTAND THE FOLLOWING SHES? Compatibility to OSRAM s: -Series Circuit... Page 2 -Parallel Circuit... Page 3 Compatibility

Læs mere

En god Facebook historie Uddannelser og valgfag målrettet datacenterindustrien!?

En god Facebook historie Uddannelser og valgfag målrettet datacenterindustrien!? En god Facebook historie Uddannelser og valgfag målrettet datacenterindustrien!? DDI møde 18.09.2019 - UCL, Odense. V/ Projektleder og lektor Lars Bojen, IT & Tech uddannelserne, lcbn@ucl.dk Agenda 1.

Læs mere

Velkommen til IFF QA erfa møde d. 15. marts Erfaringer med miljømonitorering og tolkning af nyt anneks 1.

Velkommen til IFF QA erfa møde d. 15. marts Erfaringer med miljømonitorering og tolkning af nyt anneks 1. Velkommen til IFF QA erfa møde d. 15. marts 2018 Erfaringer med miljømonitorering og tolkning af nyt anneks 1. 1 Fast agenda kl.16.30-18.00 1. Nyt fra kurser, seminarer, myndighedsinspektioner, audit som

Læs mere

Information Meeting for DE5 and DE3 Further Study Possibilities

Information Meeting for DE5 and DE3 Further Study Possibilities Information Meeting for DE5 and DE3 Further Study Possibilities General Education Structure Diplomingeniør i Datateknik og Elektronik Civilingeniør i Datateknik og Elektronik Civilingeniør i Softwarekonstruktion

Læs mere

Design til digitale kommunikationsplatforme-f2013

Design til digitale kommunikationsplatforme-f2013 E-travellbook Design til digitale kommunikationsplatforme-f2013 ITU 22.05.2013 Dreamers Lana Grunwald - svetlana.grunwald@gmail.com Iya Murash-Millo - iyam@itu.dk Hiwa Mansurbeg - hiwm@itu.dk Jørgen K.

Læs mere

Skriftlig Eksamen Automatteori og Beregnelighed (DM17)

Skriftlig Eksamen Automatteori og Beregnelighed (DM17) Skriftlig Eksamen Automatteori og Beregnelighed (DM17) Institut for Matematik & Datalogi Syddansk Universitet Odense Campus Lørdag, den 15. Januar 2005 Alle sædvanlige hjælpemidler (lærebøger, notater

Læs mere

What s Our Current Position? Uddannelsesstruktur i AUE. What Can You Choose After DE5? Uddannelsesstruktur i AUE

What s Our Current Position? Uddannelsesstruktur i AUE. What Can You Choose After DE5? Uddannelsesstruktur i AUE Information Meeting for DE5 and DE3 Further Study Possibilities What s Our Current Position? General Education Structure Andre muligheder DE3-DE5 Semesters (AUE) What Can You Choose After DE5? Diplomingeniøruddannelsen

Læs mere

Fejlbeskeder i SMDB. Business Rules Fejlbesked Kommentar. Validate Business Rules. Request- ValidateRequestRegist ration (Rules :1)

Fejlbeskeder i SMDB. Business Rules Fejlbesked Kommentar. Validate Business Rules. Request- ValidateRequestRegist ration (Rules :1) Fejlbeskeder i SMDB Validate Business Rules Request- ValidateRequestRegist ration (Rules :1) Business Rules Fejlbesked Kommentar the municipality must have no more than one Kontaktforløb at a time Fejl

Læs mere

Help / Hjælp

Help / Hjælp Home page Lisa & Petur www.lisapetur.dk Help / Hjælp Help / Hjælp General The purpose of our Homepage is to allow external access to pictures and videos taken/made by the Gunnarsson family. The Association

Læs mere

UNISONIC TECHNOLOGIES CO.,

UNISONIC TECHNOLOGIES CO., UNISONIC TECHNOLOGIES CO., 3 TERMINAL 1A NEGATIVE VOLTAGE REGULATOR DESCRIPTION 1 TO-263 The UTC series of three-terminal negative regulators are available in TO-263 package and with several fixed output

Læs mere

Central Statistical Agency.

Central Statistical Agency. Central Statistical Agency www.csa.gov.et 1 Outline Introduction Characteristics of Construction Aim of the Survey Methodology Result Conclusion 2 Introduction Meaning of Construction Construction may

Læs mere

University of Copenhagen Faculty of Science Written Exam April Algebra 3

University of Copenhagen Faculty of Science Written Exam April Algebra 3 University of Copenhagen Faculty of Science Written Exam - 16. April 2010 Algebra This exam contains 5 exercises which are to be solved in hours. The exercises are posed in an English and in a Danish version.

Læs mere

Privat-, statslig- eller regional institution m.v. Andet Added Bekaempelsesudfoerende: string No Label: Bekæmpelsesudførende

Privat-, statslig- eller regional institution m.v. Andet Added Bekaempelsesudfoerende: string No Label: Bekæmpelsesudførende Changes for Rottedatabasen Web Service The coming version of Rottedatabasen Web Service will have several changes some of them breaking for the exposed methods. These changes and the business logic behind

Læs mere

DoodleBUGS (Hands-on)

DoodleBUGS (Hands-on) DoodleBUGS (Hands-on) Simple example: Program: bino_ave_sim_doodle.odc A simulation example Generate a sample from F=(r1+r2)/2 where r1~bin(0.5,200) and r2~bin(0.25,100) Note that E(F)=(100+25)/2=62.5

Læs mere

Sikkerhedsvejledning

Sikkerhedsvejledning 11-01-2018 2 Sikkerhedsvejledning VIGTIGT! Venligst læs disse instruktioner inden sengen samles og tages i brug Tjek at alle dele og komponenter er til stede som angivet i vejledningen Fjern alle beslagsdele

Læs mere

Statistik for MPH: oktober Attributable risk, bestemmelse af stikprøvestørrelse (Silva: , )

Statistik for MPH: oktober Attributable risk, bestemmelse af stikprøvestørrelse (Silva: , ) Statistik for MPH: 7 29. oktober 2015 www.biostat.ku.dk/~pka/mph15 Attributable risk, bestemmelse af stikprøvestørrelse (Silva: 333-365, 381-383) Per Kragh Andersen 1 Fra den 6. uges statistikundervisning:

Læs mere

Cross-Sectorial Collaboration between the Primary Sector, the Secondary Sector and the Research Communities

Cross-Sectorial Collaboration between the Primary Sector, the Secondary Sector and the Research Communities Cross-Sectorial Collaboration between the Primary Sector, the Secondary Sector and the Research Communities B I R G I T T E M A D S E N, P S Y C H O L O G I S T Agenda Early Discovery How? Skills, framework,

Læs mere

The X Factor. Målgruppe. Læringsmål. Introduktion til læreren klasse & ungdomsuddannelser Engelskundervisningen

The X Factor. Målgruppe. Læringsmål. Introduktion til læreren klasse & ungdomsuddannelser Engelskundervisningen The X Factor Målgruppe 7-10 klasse & ungdomsuddannelser Engelskundervisningen Læringsmål Eleven kan give sammenhængende fremstillinger på basis af indhentede informationer Eleven har viden om at søge og

Læs mere

Business Rules Fejlbesked Kommentar

Business Rules Fejlbesked Kommentar Fejlbeskeder i SMDB Validate Business Request- ValidateRequestRegi stration ( :1) Business Fejlbesked Kommentar the municipality must have no more than one Kontaktforløb at a time Fejl 1: Anmodning En

Læs mere

Exercise 6.14 Linearly independent vectors are also affinely independent.

Exercise 6.14 Linearly independent vectors are also affinely independent. Affine sets Linear Inequality Systems Definition 6.12 The vectors v 1, v 2,..., v k are affinely independent if v 2 v 1,..., v k v 1 is linearly independent; affinely dependent, otherwise. We first check

Læs mere

X M Y. What is mediation? Mediation analysis an introduction. Definition

X M Y. What is mediation? Mediation analysis an introduction. Definition What is mediation? an introduction Ulla Hvidtfeldt Section of Social Medicine - Investigate underlying mechanisms of an association Opening the black box - Strengthen/support the main effect hypothesis

Læs mere

Fejlbeskeder i Stofmisbrugsdatabasen (SMDB)

Fejlbeskeder i Stofmisbrugsdatabasen (SMDB) Fejlbeskeder i Stofmisbrugsdatabasen (SMDB) Oversigt over fejlbeskeder (efter fejlnummer) ved indberetning til SMDB via webløsning og via webservices (hvor der dog kan være yderligere typer fejlbeskeder).

Læs mere

ArbejsskadeAnmeldelse

ArbejsskadeAnmeldelse ArbejsskadeAnmeldelse OpretAnmeldelse 001 All Klassifikations: KlassifikationKode is an unknown value in the current Klassifikation 002 All Klassifikations: KlassifikationKode does not correspond to KlassifikationTekst

Læs mere

Brug sømbrættet til at lave sjove figurer. Lav fx: Få de andre til at gætte, hvad du har lavet. Use the nail board to make funny shapes.

Brug sømbrættet til at lave sjove figurer. Lav fx: Få de andre til at gætte, hvad du har lavet. Use the nail board to make funny shapes. Brug sømbrættet til at lave sjove figurer. Lav f: Et dannebrogsflag Et hus med tag, vinduer og dør En fugl En bil En blomst Få de andre til at gætte, hvad du har lavet. Use the nail board to make funn

Læs mere

Skriftlig Eksamen Diskret matematik med anvendelser (DM72)

Skriftlig Eksamen Diskret matematik med anvendelser (DM72) Skriftlig Eksamen Diskret matematik med anvendelser (DM72) Institut for Matematik & Datalogi Syddansk Universitet, Odense Onsdag den 18. januar 2006 Alle sædvanlige hjælpemidler (lærebøger, notater etc.),

Læs mere

Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt

Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt Passage 1 Passage 2 Passage 3 Passage 4 Passage 5 27 Bilag 2 Engelsk beskrivelse af S4-måleren InterOcean S4 Current Meter The S4 Electromagnetic

Læs mere

Varenr.: 553925 90 højre 553926 90 venstre 554027 90º højre med coating 554028 90º venstre med coating

Varenr.: 553925 90 højre 553926 90 venstre 554027 90º højre med coating 554028 90º venstre med coating DK GH Skiftespor Varenr.: 55395 90 højre 55396 90 venstre 55407 90º højre med coating 55408 90º venstre med coating 553991 60º højre 553995 60º venstre 551058 60º højre med coating 551059 60º venstre med

Læs mere

WIO200A INSTALLATIONS MANUAL Rev Dato:

WIO200A INSTALLATIONS MANUAL Rev Dato: WIO200A INSTALLATIONS MANUAL 111686-903 Rev. 1.01 Dato: 10.01.2013 Side 1 af 14 Contents Contents... 2 Introduction... 3 Pin assignment of the terminal box connector for customer... 4 Pin assignment of

Læs mere

FACULTY OF SCIENCE :59 COURSE. BB838: Basic bioacoustics using Matlab

FACULTY OF SCIENCE :59 COURSE. BB838: Basic bioacoustics using Matlab FACULTY OF SCIENCE 01-12- 11:59 COURSE BB838: Basic bioacoustics using Matlab 28.03. Table Of Content Internal Course Code Course title ECTS value STADS ID (UVA) Level Offered in Duration Teacher responsible

Læs mere

Outline CS 4387/5387 SOFTWARE V&V LECTURE 7 INTEGRATION TESTING. Integration Testing. Integrating OO Applications. Definition Strategies 6/20/2018

Outline CS 4387/5387 SOFTWARE V&V LECTURE 7 INTEGRATION TESTING. Integration Testing. Integrating OO Applications. Definition Strategies 6/20/2018 1 CS 4387/5387 SOFTWARE V&V LECTURE 7 INTEGRATION TESTING Outline 2 Integration Testing Definition Strategies Big bang Top-down Bottom-up Sandwich Integrating OO Applications 1 Integration ing 3 Done between

Læs mere

PEMS RDE Workshop. AVL M.O.V.E Integrative Mobile Vehicle Evaluation

PEMS RDE Workshop. AVL M.O.V.E Integrative Mobile Vehicle Evaluation PEMS RDE Workshop AVL M.O.V.E Integrative Mobile Vehicle Evaluation NEW - M.O.V.E Mobile Testing Platform Key Requirements for Measuring Systems Robustness Shock / vibrations Change of environment Compact

Læs mere

Special VFR. - ved flyvning til mindre flyveplads uden tårnkontrol som ligger indenfor en kontrolzone

Special VFR. - ved flyvning til mindre flyveplads uden tårnkontrol som ligger indenfor en kontrolzone Special VFR - ved flyvning til mindre flyveplads uden tårnkontrol som ligger indenfor en kontrolzone SERA.5005 Visual flight rules (a) Except when operating as a special VFR flight, VFR flights shall be

Læs mere

Slot diffusers. Slot diffusers LD-17, LD-18

Slot diffusers. Slot diffusers LD-17, LD-18 LD-17, LD-18 Application LD-17 and LD-18 are designed for supply of cold or warm air in rooms with a height between. m and 4 m. They allow easy setting of air deflectors for different modes of operation

Læs mere

Delta Elektronik A/S - AKD

Delta Elektronik A/S - AKD Delta Elektronik A/S - AKD Hardware og type oversigt Grundlæggende oplysninger med forbindelser Opsætning af IP adresser på drev alle muligheder Gennemgang af WorkBench Up/Down load parametre filer Mest

Læs mere

Learnings from the implementation of Epic

Learnings from the implementation of Epic Learnings from the implementation of Epic Appendix Picture from Region H (2016) A thesis report by: Oliver Metcalf-Rinaldo, oliv@itu.dk Stephan Mosko Jensen, smos@itu.dk Appendix - Table of content Appendix

Læs mere

Engelsk. Niveau C. De Merkantile Erhvervsuddannelser September 2005. Casebaseret eksamen. www.jysk.dk og www.jysk.com.

Engelsk. Niveau C. De Merkantile Erhvervsuddannelser September 2005. Casebaseret eksamen. www.jysk.dk og www.jysk.com. 052430_EngelskC 08/09/05 13:29 Side 1 De Merkantile Erhvervsuddannelser September 2005 Side 1 af 4 sider Casebaseret eksamen Engelsk Niveau C www.jysk.dk og www.jysk.com Indhold: Opgave 1 Presentation

Læs mere

Breaking Industrial Ciphers at a Whim MATE SOOS PRESENTATION AT HES 11

Breaking Industrial Ciphers at a Whim MATE SOOS PRESENTATION AT HES 11 Breaking Industrial Ciphers at a Whim MATE SOOS PRESENTATION AT HES 11 Story line 1 HiTag2: reverse-engineered proprietary cipher 2 Analytic tools are needed to investigate them 3 CryptoMiniSat: free software

Læs mere

Automatic Code Orchestration from Descriptive Implementations

Automatic Code Orchestration from Descriptive Implementations ft her Automatic Code Orchestration from Descriptive Implementations older Professor Brian Vinter Niels Bohr Institute KU ft her Automatic Code Orchestration from Descriptive Implementations Prototypin

Læs mere

Handout 1: Eksamensspørgsmål

Handout 1: Eksamensspørgsmål Handout 1: Eksamensspørgsmål Denne vejledning er udfærdiget på grundlag af Peter Bakkers vejledning til jeres eksamensspørgsmål. Hvis der skulle forekomme afvigelser fra Peter Bakkers vejledning, er det

Læs mere

Besvarelser til Lineær Algebra Reeksamen Februar 2017

Besvarelser til Lineær Algebra Reeksamen Februar 2017 Besvarelser til Lineær Algebra Reeksamen - 7. Februar 207 Mikkel Findinge Bemærk, at der kan være sneget sig fejl ind. Kontakt mig endelig, hvis du skulle falde over en sådan. Dette dokument har udelukkende

Læs mere

Digitaliseringsstyrelsen

Digitaliseringsstyrelsen NemLog-in 29-05-2018 INTERNAL USE Indholdsfortegnelse 1 NEMLOG-IN-LØSNINGER GØRES SIKRERE... 3 1.1 TJENESTEUDBYDERE SKAL FORBEREDE DERES LØSNINGER... 3 1.2 HVIS LØSNINGEN IKKE FORBEREDES... 3 2 VEJLEDNING

Læs mere

South Baileygate Retail Park Pontefract

South Baileygate Retail Park Pontefract Key Details : available June 2016 has a primary shopping catchment of 77,000 (source: PMA), extending to 186,000 within 10km (source: FOCUS) 86,000 sq ft of retail including Aldi, B&M, Poundstretcher,

Læs mere

DANSK DANish helpdesk

DANSK DANish helpdesk DANSK DANish helpdesk CLARIN Videncenter om dansk sprog og sprogteknologi for dansk Seminar om Digitale Metoder i Humaniora 8. juni 2016, Claus Povlsen CLARIN primære opgave er til forskere at tilbyde

Læs mere

Opera Ins. Model: MI5722 Product Name: Pure Sine Wave Inverter 1000W 12VDC/230 30A Solar Regulator

Opera Ins. Model: MI5722 Product Name: Pure Sine Wave Inverter 1000W 12VDC/230 30A Solar Regulator Opera Ins Model: MI5722 Product Name: Pure Sine Wave Inverter 1000W 12VDC/230 30A Solar Regulator I.Precautions 1. Keep the product away from children to avoid children playing it as a toy and resultinginpersonalinjury.

Læs mere

Chapter. Information Representation

Chapter. Information Representation Chapter 3 Information Representation (a) A seven-bit cell. Figure 3. Figure 3. (Continued) (b) Some possible values in a seven-bit cell. Figure 3. (Continued) 6 8 7 2 5 J A N U A R Y (c) Some impossible

Læs mere

DANSK INSTALLATIONSVEJLEDNING VLMT500 ADVARSEL!

DANSK INSTALLATIONSVEJLEDNING VLMT500 ADVARSEL! DANSK INSTALLATIONSVEJLEDNING VLMT500 Udpakningsinstruktioner Åben indpakningen forsigtigt og læg indholdet på et stykke pap eller en anden beskyttende overflade for at undgå beskadigelse. Kontroller at

Læs mere

TM4 Central Station. User Manual / brugervejledning K2070-EU. Tel Fax

TM4 Central Station. User Manual / brugervejledning K2070-EU. Tel Fax TM4 Central Station User Manual / brugervejledning K2070-EU STT Condigi A/S Niels Bohrs Vej 42, Stilling 8660 Skanderborg Denmark Tel. +45 87 93 50 00 Fax. +45 87 93 50 10 info@sttcondigi.com www.sttcondigi.com

Læs mere

The test can be performed on the following devices. In addition, the required cuvette and the absorption range of the photometer are indicated.

The test can be performed on the following devices. In addition, the required cuvette and the absorption range of the photometer are indicated. Formaldehyde 10 M. L 1.00-5.00 mg/l HCHO H 2 SO 4 / Chromotropic acid 175 Instrument specific information The test can be performed on the following devices. In addition, the required cuvette and the absorption

Læs mere

IBM Network Station Manager. esuite 1.5 / NSM Integration. IBM Network Computer Division. tdc - 02/08/99 lotusnsm.prz Page 1

IBM Network Station Manager. esuite 1.5 / NSM Integration. IBM Network Computer Division. tdc - 02/08/99 lotusnsm.prz Page 1 IBM Network Station Manager esuite 1.5 / NSM Integration IBM Network Computer Division tdc - 02/08/99 lotusnsm.prz Page 1 New esuite Settings in NSM The Lotus esuite Workplace administration option is

Læs mere

Informationsteknologi Åben distribueret databehandling Referencemodel: Arkitektonisk semantik Tillæg 1: Computerbaserede formler

Informationsteknologi Åben distribueret databehandling Referencemodel: Arkitektonisk semantik Tillæg 1: Computerbaserede formler Dansk Standard Tillæg DS/ISO/IEC 10746-4/Amd.1 1. udgave 2002-06-04 Informationsteknologi Åben distribueret databehandling Referencemodel: Arkitektonisk semantik Tillæg 1: Computerbaserede formler Information

Læs mere

TDC 4 Indoor voltage transformers

TDC 4 Indoor voltage transformers Medium Voltage Product TDC 4 Indoor voltage transformers Highest voltage for equipment [kv] 3.6-12 Power frequency test voltage, 1 min. [kv] 10 - Lightning impulse test voltage [kv] Max. rated burden,

Læs mere

Probabilistic properties of modular addition. Victoria Vysotskaya

Probabilistic properties of modular addition. Victoria Vysotskaya Probabilistic properties of modular addition Victoria Vysotskaya JSC InfoTeCS, NPK Kryptonite CTCrypt 19 / June 4, 2019 vysotskaya.victory@gmail.com Victoria Vysotskaya (Infotecs, Kryptonite) Probabilistic

Læs mere

Bilag 8. TDC technical requirements for approval of splitterfilters and inline filters intended for shared access (ADSL or VDSL over POTS).

Bilag 8. TDC technical requirements for approval of splitterfilters and inline filters intended for shared access (ADSL or VDSL over POTS). Bilag 8. TDC technical requirements for approval of splitters and inline s intended for shared access (ADSL or VDSL over POTS). Dette bilag udgør bilag 8 til det mellem parterne tiltrådte Produkttillæg

Læs mere

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012 Server side Programming Wedesign Forelæsning #8 Recap PHP 1. Development Concept Design Coding Testing 2. Social Media Sharing, Images, Videos, Location etc Integrates with your websites 3. Widgets extend

Læs mere

SOFTWARE PROCESSES. Dorte, Ida, Janne, Nikolaj, Alexander og Erla

SOFTWARE PROCESSES. Dorte, Ida, Janne, Nikolaj, Alexander og Erla SOFTWARE PROCESSES Dorte, Ida, Janne, Nikolaj, Alexander og Erla Hvad er en software proces? Et struktureret sæt af AKTIVITETER, hvis mål er udvikling af software. En software proces model er en abstrakt

Læs mere

DONG-område Resten af landet

DONG-område Resten af landet TDC A/S regulering@tdc.dk Fremsendes alene via mail Tillægsafgørelse vedrørende fastsættelse af priser for BSA leveret via TDC s fibernet 1 Indledning traf fredag den 15. april 2011 LRAIC-prisafgørelse

Læs mere

Department of Public Health. Case-control design. Katrine Strandberg-Larsen Department of Public Health, Section of Social Medicine

Department of Public Health. Case-control design. Katrine Strandberg-Larsen Department of Public Health, Section of Social Medicine Department of Public Health Case-control design Katrine Strandberg-Larsen Department of Public Health, Section of Social Medicine Case-control design Brief summary: Comparison of cases vs. controls with

Læs mere

3C03 Concurrency: Model-based Design

3C03 Concurrency: Model-based Design 3C03 Concurrency: Model-based Design Wolfgang Emmerich 1 Outline Role of Modelling in System Development Refining Models into Designs FSP Actions and Operations FSP Processes and Threads FSP Processes

Læs mere

Filtering on Wires Cable Ferrites, Usage & Comparison Alex Snijder Field Application Engineer Wurth Elektronik Nederland B.V.

Filtering on Wires Cable Ferrites, Usage & Comparison Alex Snijder Field Application Engineer Wurth Elektronik Nederland B.V. Filtering on Wires Cable Ferrites, Usage & Comparison Alex Snijder Field Application Engineer Wurth Elektronik Nederland B.V. Agenda Electromagnetic Compatibility (EMC) Application of Cable Ferrites Impedance

Læs mere