MathWorks Integrated Design Solution

Størrelse: px
Starte visningen fra side:

Download "MathWorks Integrated Design Solution"

Transkript

1 MathWorks Integrated Design Solution 2002

2 The MathWorks at a Glance Founded in 1984, privately held Headquarters in Natick, Massachusetts (near Boston) European offices in the UK, France, Germany, Switzerland, Italy, Spain, and Benelux region Over 1000 employees, including 1 /3 in product development More than 500,000 users in 100 countries, on all seven continents!

3 Today s System and IC Design Challenges Hardware: RF/Analog, Digital IC, FPGA Software: DSP, MAC, Control, Use interface Moving partitioning boundaries Analog Digital IC FPGA DSP S/W Micro controller S/W Implementation specific tools lock your IP into one target type Spice, HDL, ASM, C/C++

4 Today s Business and Organization Challenges Time-to-market pressure A few months delay has huge revenue impact Team integration Analog/Mixed-Signal, Digital hardware, DSP S/W, Control S/W teams All speak a different language and communicate via written documents Increasing ASIC mask costs

5 Traditional Flow: Little or No Early Simulation EDA Tools Digital, A/M-S Hardware Technology Research, Market Requirements Written Specifications, C, M THIRD PARTY IMPLEMENTATION TOOLS DSP/Embedded Software Tools DSP, Control Software Technology research and market requirements Systems engineering Partition into components create written specifications for teams Minimal or no simulation. C, M Design failure risk high. Flaws detected late, during circuit level, RTL or C/ASM code design Risk of time-to-market delays

6 What if You Could? Build a model of a complete system in minutes or days Simulate the behavior of the whole system before starting low-level design work? Analog, digital and control together Test for design flaws early Trade-off architectures and parameter in minutes find best design Communicate your ideas and share with other teams? Keep your IP in an implementation neutral tool as long as possible? Only start development with a validated, fully tested design?

7 The MathWorks System-Level Solution MATLAB SIMULINK Validated Design Specification MATLAB and Simulink Before circuit level, RTL or C/ASM code design Create a validated reference design EDA Tools THIRD PARTY IMPLEMENTATION TOOLS DSP/Embedded Software Tools Digital, A/M-S Hardware DSP, Control Software

8 MATLAB MATLAB Research new technology Perform mathematical modeling Development algorithms Acquire, visualize and analyze data

9 Simulink MATLAB SIMULINK Graphically design architecture and simulate behavior of whole system. Bit-true cycle accurate. From libraries of pre-built blocks Import C or MATLAB Code Test, optimize, explore parameter and architecture trade-offs

10 Model Different Components Analog/M-S SIMULINK DSP MAC, Control Logic Analog/Mixed-Signal PLLs, data converters Continuous time, variablestep ODE solvers DSP Baseband Discrete time, fast framebased processing. Bit-true cycle accurate. MAC layer/data Link Layer Simple protocols, acknowledgement schemes Reactive or event driven state machines With Stateflow

11 Use a Validated Design EDA Tools MATLAB SIMULINK Validated Design THIRD PARTY IMPLEMENTATION TOOLS DSP/Embedded Software Tools Create validated design Use as reference or executable specification to test low-level designs against Provide clear specifications Detect design flaws early Reduce design risk and time-to-market Digital, A/M-S Hardware DSP, Control Software

12 Motorola's Wireless Subscriber Systems Group Challenge Mixed-signal Phase-Locked Loop (PLL) design Cycle-to-cycle jitter and loop locking sensitivity SPICE/Verilog 100 µsecs: 2 hours Solution Simulink Faster development time and simulation time 100 µsecs : 2.5 mins Sub-picosecond resolution

13 PHY Design Case Study: IEEE b IEEE Standard Document 600 pages (11 + b appendix) 4 modes (1, 2, 5.5 and 11Mbps) Components Framing and CRC Long/short preamble and sync Modulation and spreading Filtering Channel number selection (1-11) RF subsystems, Tx power, mask, power-on ramp

14 1Mbps Mode Modulation DBPSK Spread 11 chips Barker sequence per symbol Pulse shaping 4/8 samples per chip Root raised cosine Channel AWGN

15 2002 C/C++

16 NIST b and Bluetooth C/C++ Code w3.antd.nist.gov/wctg/blu etooth/btint.html Bluetooth and b b Random bits 1 or 11Mbps modulation and spreading Filtering Bluetooth Random bits Modulation Filtering

17 C/C++ Code: 17 Files, lines

18 C/C++ Code: Build, Run, Debug, and Change Build and run Bug: File I/O Speed 7 secs for 100 packets Time to create lines/day lines/day Removing Bluetooth Difficult. Many implicit S/W Demonstration dependencies D:\>btint -c 100 -d i BT -EbNo 6

19 C/C++ Pros and Cons Pros Ubiquitous Fast to execute Data type options Cons No canned DSP/Comm functions Can t visualize signals Too low-level, lots housekeeping Error prone design entry, implicit interdependencies Slow to iterate, debug and make changes

20 2002 MATLAB

21 802.11b: M Code Using MATLAB Generating bits Symbols and noise scatterplot(rx_symbols) Tx lines of code One single file 52 lines Modulation (3 lines) Spreading (1 line) Speed 2 secs for 100 packets Find the bug S/W Demonstration: Build and show

22 MATLAB Vs C/C++: Spreading and Upsampling C Code Bits spread=addchips(diffout[slice(i,1)]); Bits IEEE802_11b_Transmitter::addChips(const Bits& input) { Bits spreadout(input.size()*ns,false); for (int i=0;i<input.size();++i){ for(int j=0; j<11; ++j) { spreadout[i*ns+4*j]= m_chip[j]^input[i]; } } return spreadout; } M Code Tx_chips=reshape(Barker*Tx_symbols',[],1); Tx_samples(1:Samples_per_chip:end)=Tx_chips;

23 MATLAB Pros and Cons Pros Interactive Easy signal visualization Canned common functions Faster development Cons Limited data types Limited low-level control Less memory efficient Slower to execute for scalars, loops before R13

24 Limitations of C and M for System Design No architecture information Can only model a pipeline Can t describe a real system Channel Frequency Shift IF Filter Demodulator De-Spreader 1 Mbps DBPSK Demodulator De-Spreader 2 Mbps DQPSK Demodulator De-Spreader 5.5 Mbps CCK Demodulator De-Spreader 11 Mbps CCK Chip and Bit Synchronization No timing information Control Can only model uniform Fs = Difficult to model delays Must manually handle state Can t model A/M-S Difficult to model Rx algorithms

25 2002 Simulink

26 Simulink Hierarchical block diagram design and simulation tool Digital, analog/mixed signal and event driven Visualize Signals Co-develop with C code Integrated with MATLAB

27 Simulink in The MATLAB Environment Blocksets Toolboxes DAQ cards Instruments Desktop Applications Automated Reports

28 The Simulink Block Libraries S/W Demonstration: Build Simulink Sources and sinks Continuous and Discrete Math, Non-Linear Look-up tables, user functions Subsystems, verification DSP Blockset Sub libraries Communications Blockset Sub libraries Fixed-Point Blockset Power-Systems Blockset Incremental development

29 The MathWorks products for DSP and Communications Accelerating engineering design and discovery MATLAB for algorithm development and analysis Simulink for system-level design

30 MathWorks Integrated Design Solution SIMULINK Analog/Mixed Signal DSP Supervisory Logic System-Level Design Common tool for all design teams Simulate component interactions Test behavior of whole system No re-design necessary

31 Modeling system components SIMULINK Analog/M-S DSP Control Logic Analog/Mixed-Signal E.g. PLLs, data converters Continuous time, variablestep ODE solvers DSP E.g. Baseband processing, speech processing Discrete time, fast framebased processing. Bit-true cycle accurate. Control Logic E.g. MAC layer, acknowledgement schemes Reactive or event driven state machines

32 End-to-end systems Digital and analog operations such as coding, interleaving and modulation Channel models, error coding, sources, sinks Multiple rates for frames, symbols, bit and sample rates Synchronization Performance testing Analog, digital, hybrid, and event-driven simulation

33 Co-develop with C Code S-function block in Simulink API to specify outputs, state, parameters and sample-times S-function builder in Simulink GUI to enter C Code Predefined variables for input, output and states

34 Fixed Point Simulation New integrated fixed point in core Simulink (R13) User-definable data types Analysis tools log min, max, overflows block-by-block Floating point override options

35 Links to implementation Real-Time Workshop Automatically generates ANSI C from Simulink Customizable code Rapid Prototyping Embedded Targets TI C6000 Motorola MPC555 Altera DSP Builder Bit-true and cycle-true Simulink library for common functions Automatic HDL code generation from a Simulink model Available from Altera

36 FIR Filter Design: demo Filter response fixed vs floating Select design algorithms Filter specifications

37 2002 Summary

38 MATLAB and Simulink EDA Tools MATLAB SIMULINK Validated Design THIRD PARTY IMPLEMENTATION TOOLS DSP/Embedded Software Tools Create validated design Use as reference or executable specification to test low-level designs against Provide clear specifications Detect design flaws early Reduce risk and time-tomarket Digital, A/M-S Hardware DSP, Control Software

39 Further Information on Products and Services Product information and animated demonstrations Events Regular on-line software demonstrations

40 MATLAB Central MathWorks and user contributed models

ECE 551: Digital System * Design & Synthesis Lecture Set 5

ECE 551: Digital System * Design & Synthesis Lecture Set 5 ECE 551: Digital System * Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) 5.2: Verilog Simulation I/O and 2001 Standard (In Separate File) 3/4/2003 1 ECE

Læs mere

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU OUTLINE INEFFICIENCY OF ATTILA WAYS TO PARALLELIZE LOW COMPATIBILITY IN THE COMPILATION A SOLUTION

Læs mere

Lovkrav vs. udvikling af sundhedsapps

Lovkrav vs. udvikling af sundhedsapps Lovkrav vs. udvikling af sundhedsapps Health apps give patients better control User Data Social media Pharma Products User behaviour Relatives www Self monitoring (app) data extract Healthcare specialists

Læs mere

Black Jack --- Review. Spring 2012

Black Jack --- Review. Spring 2012 Black Jack --- Review Spring 2012 Simulation Simulation can solve real-world problems by modeling realworld processes to provide otherwise unobtainable information. Computer simulation is used to predict

Læs mere

PEMS RDE Workshop. AVL M.O.V.E Integrative Mobile Vehicle Evaluation

PEMS RDE Workshop. AVL M.O.V.E Integrative Mobile Vehicle Evaluation PEMS RDE Workshop AVL M.O.V.E Integrative Mobile Vehicle Evaluation NEW - M.O.V.E Mobile Testing Platform Key Requirements for Measuring Systems Robustness Shock / vibrations Change of environment Compact

Læs mere

OG-3600 Series Fiber Optic Transport for opengear card frame platform w/ SNMP Management

OG-3600 Series Fiber Optic Transport for opengear card frame platform w/ SNMP Management FEATURES w w 4 HDSDI 3G 8x8 Line/AES Genlock Time Code, GPIO, Data APPLICATIONS Studio Links Signal Trunking Signal distribution Campus interconnects Transmission links Telco circuits w w Outside Broadcast

Læs mere

Valg af Automationsplatform

Valg af Automationsplatform Valg af Automationsplatform Factory or Machine? Different Product Segments APROL for Process Control and Factory Automation Automation Studio for Machine Automation Factory Automation Factory automation

Læs mere

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication Basic Design Flow System design System/Architectural Design Instruction set for processor Hardware/software partition Memory, cache Logic design Logic Design Logic synthesis Logic optimization Technology

Læs mere

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1 Project Step 7 Behavioral modeling of a dual ported register set. Copyright 2006 - Joanne DeGroat, ECE, OSU 1 The register set Register set specifications 16 dual ported registers each with 16- bit words

Læs mere

IBM Software Group. SOA v akciji. Srečko Janjić WebSphere Business Integration technical presales IBM Software Group, CEMA / SEA IBM Corporation

IBM Software Group. SOA v akciji. Srečko Janjić WebSphere Business Integration technical presales IBM Software Group, CEMA / SEA IBM Corporation IBM Software Group SOA v akciji Srečko Janjić Business Integration technical presales IBM Software Group, CEMA / SEA Service Oriented Architecture Design principles and technology for building reusable,

Læs mere

Benefits of Integrated System Design for complex FPGAs

Benefits of Integrated System Design for complex FPGAs Benefits of Integrated System for complex FPGAs Thomas Brückner Mentor Graphics Agenda FPGA/ASIC Trends Platform Based Optimizing Platform Performance Rapid Platform Prototyping Platform Verification Platform

Læs mere

extreme Programming Kunders og udvikleres menneskerettigheder

extreme Programming Kunders og udvikleres menneskerettigheder extreme Programming Software Engineering 13 1 Kunders og udvikleres menneskerettigheder Kunder: At sætte mål og få projektet til at følge dem At kende varighed og pris At bestemme softwarefunktionalitet

Læs mere

Online kursus: Certified Information Security Manager (CISM)

Online kursus: Certified Information Security Manager (CISM) Online kursus 365 dage DKK 5.999 Nr. 72845 P ekskl. moms Online kursus: Certified Information Security Manager (CISM) Dette kursus ser på rollen af informationssikkerhed og styring i en organisation. På

Læs mere

PMDK PC-Side Basic Function Reference (Version 1.0)

PMDK PC-Side Basic Function Reference (Version 1.0) PMDK PC-Side Basic Function Reference (Version 1.0) http://www.icpdas.com PMDK PC-Side Basic Function Reference V 1.0 1 Warranty All products manufactured by ICPDAS Inc. are warranted against defective

Læs mere

CHAPTER 8: USING OBJECTS

CHAPTER 8: USING OBJECTS Ruby: Philosophy & Implementation CHAPTER 8: USING OBJECTS Introduction to Computer Science Using Ruby Ruby is the latest in the family of Object Oriented Programming Languages As such, its designer studied

Læs mere

GNSS/INS Product Design Cycle. Taking into account MEMS-based IMU sensors

GNSS/INS Product Design Cycle. Taking into account MEMS-based IMU sensors GNSS/INS Product Design Cycle Taking into account MEMS-based IMU sensors L. Vander Kuylen 15 th th December 2005 Content Product Definition Product Development Hardware Firmware Measurement Campaign in

Læs mere

Constant Terminal Voltage. Industry Workshop 1 st November 2013

Constant Terminal Voltage. Industry Workshop 1 st November 2013 Constant Terminal Voltage Industry Workshop 1 st November 2013 Covering; Reactive Power & Voltage Requirements for Synchronous Generators and how the requirements are delivered Other countries - A different

Læs mere

Basic statistics for experimental medical researchers

Basic statistics for experimental medical researchers Basic statistics for experimental medical researchers Sample size calculations September 15th 2016 Christian Pipper Department of public health (IFSV) Faculty of Health and Medicinal Science (SUND) E-mail:

Læs mere

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Generalized Probit Model in Design of Dose Finding Experiments Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Outline Motivation Generalized probit model Utility function Locally optimal designs

Læs mere

Speciale. Evaluering af Java til udvikling af indlejrede realtidssystemer ved brug af en eksisterende Java Optimized Processor (JOP)

Speciale. Evaluering af Java til udvikling af indlejrede realtidssystemer ved brug af en eksisterende Java Optimized Processor (JOP) Speciale Evaluering af Java til udvikling af indlejrede realtidssystemer ved brug af en eksisterende Java Optimized Processor (JOP) Speciale efterår 2005 Teknisk Informationsteknologi Jan Lauritzen & Mads

Læs mere

SOFTWARE PROCESSES. Dorte, Ida, Janne, Nikolaj, Alexander og Erla

SOFTWARE PROCESSES. Dorte, Ida, Janne, Nikolaj, Alexander og Erla SOFTWARE PROCESSES Dorte, Ida, Janne, Nikolaj, Alexander og Erla Hvad er en software proces? Et struktureret sæt af AKTIVITETER, hvis mål er udvikling af software. En software proces model er en abstrakt

Læs mere

IBM Network Station Manager. esuite 1.5 / NSM Integration. IBM Network Computer Division. tdc - 02/08/99 lotusnsm.prz Page 1

IBM Network Station Manager. esuite 1.5 / NSM Integration. IBM Network Computer Division. tdc - 02/08/99 lotusnsm.prz Page 1 IBM Network Station Manager esuite 1.5 / NSM Integration IBM Network Computer Division tdc - 02/08/99 lotusnsm.prz Page 1 New esuite Settings in NSM The Lotus esuite Workplace administration option is

Læs mere

Improving data services by creating a question database. Nanna Floor Clausen Danish Data Archives

Improving data services by creating a question database. Nanna Floor Clausen Danish Data Archives Improving data services by creating a question database Nanna Floor Clausen Danish Data Archives Background Pressure on the students Decrease in response rates The users want more Why a question database?

Læs mere

Studieordning del 3,

Studieordning del 3, Studieordning del 3, 2014-2016 Autoteknolog, Valgfri Uddannelseselementer Academy Profession Degree in Automotive Technology Version 0.1 Revideret 19. august 2015 Side 0 af 6 Indhold Studieordningens del

Læs mere

Projektledelse i praksis

Projektledelse i praksis Projektledelse i praksis - Hvordan skaber man (grundlaget) for gode beslutninger? Martin Malis Business Consulting, NNIT mtmi@nnit.com 20. maj, 2010 Agenda Project Governance Portfolio Management Project

Læs mere

RoE timestamp and presentation time in past

RoE timestamp and presentation time in past RoE timestamp and presentation time in past Jouni Korhonen Broadcom Ltd. 5/26/2016 9 June 2016 IEEE 1904 Access Networks Working Group, Hørsholm, Denmark 1 Background RoE 2:24:6 timestamp was recently

Læs mere

Autodesk Simulation. Torben Christensen Niels Riis Christensen NTI CADCenter A/S

Autodesk Simulation. Torben Christensen Niels Riis Christensen NTI CADCenter A/S Autodesk Simulation Torben Christensen Niels Riis Christensen NTI CADCenter A/S Why Autodesk Simulation? A Comprehensive Portfolio of Solutions Mechanical Computational Fluid Dynamics Structural Plastics

Læs mere

Den uddannede har viden om: Den uddannede kan:

Den uddannede har viden om: Den uddannede kan: Den uddannede har viden om: Den uddannede kan: Den uddannede kan: Den studerende har udviklingsbaseret viden om og forståelse for Den studerende kan Den studerende kan Den studerende har udviklingsbaseret

Læs mere

Linear Programming ١ C H A P T E R 2

Linear Programming ١ C H A P T E R 2 Linear Programming ١ C H A P T E R 2 Problem Formulation Problem formulation or modeling is the process of translating a verbal statement of a problem into a mathematical statement. The Guidelines of formulation

Læs mere

UNISONIC TECHNOLOGIES CO.,

UNISONIC TECHNOLOGIES CO., UNISONIC TECHNOLOGIES CO., 3 TERMINAL 1A NEGATIVE VOLTAGE REGULATOR DESCRIPTION 1 TO-263 The UTC series of three-terminal negative regulators are available in TO-263 package and with several fixed output

Læs mere

Vore IIoT fokus områder

Vore IIoT fokus områder Vore IIoT fokus områder INDUSTRI FORSYNINH & INFRASTRUKTUR BYGNING & DATACENTER TRANSPORT & LOGISTIK Ewon & Talk2M - A proven success! ewons connected Talk2M servers Alle taler om det! Fjernadgang og Industrial

Læs mere

Byg din informationsarkitektur ud fra en velafprøvet forståelsesramme The Open Group Architecture Framework (TOGAF)

Byg din informationsarkitektur ud fra en velafprøvet forståelsesramme The Open Group Architecture Framework (TOGAF) Byg din informationsarkitektur ud fra en velafprøvet forståelsesramme The Open Group Framework (TOGAF) Otto Madsen Director of Enterprise Agenda TOGAF og informationsarkitektur på 30 min 1. Introduktion

Læs mere

Tools to Support Knowledge Design and Explanation (VISTA update)

Tools to Support Knowledge Design and Explanation (VISTA update) Tools to Support Knowledge Design and Explanation (VISTA update) Glenn Taylor and Randolph M. Jones Soar Technology, Inc. {rjones,glenn}@soartech.com Soar Workshop, June 2005 VISTA Goals Reduce costs in

Læs mere

ก ก. ก (System Development) 5.7 ก ก (Application Software Package) 5.8 ก (System Implementation) Management Information System, MIS 5.

ก ก. ก (System Development) 5.7 ก ก (Application Software Package) 5.8 ก (System Implementation) Management Information System, MIS 5. ก ก, MIS.....? 5.2 ก 5.3 ก (System Development Life Cycle: SDLC) 5.4 ก (Prototyping) 5.5 ก (End-User Development) 1 ก (System Development) Chapter? 5.6 ก ก (Outsourcing) 5.7 ก ก (Application Software Package)

Læs mere

High-Performance Data Mining med SAS Enterprise Miner 14.1

High-Performance Data Mining med SAS Enterprise Miner 14.1 High-Performance Data Mining med SAS Enterprise Miner 14.1 nye procedurer til en mere effektiv modeludviklingsproces Kristina Birch, Advisory Analytical Consultant, SAS Institute Indhold Hvad er High-Performance

Læs mere

ME6212. High Speed LDO Regulators, High PSRR, Low noise, ME6212 Series. General Description. Typical Application. Package

ME6212. High Speed LDO Regulators, High PSRR, Low noise, ME6212 Series. General Description. Typical Application. Package High Speed LDO Regulators, High PSRR, Low noise, Series General Description The series are highly accurate, low noise, CMOS LDO Voltage Regulators. Offering low output noise, high ripple rejection ratio,

Læs mere

Molio specifications, development and challenges. ICIS DA 2019 Portland, Kim Streuli, Molio,

Molio specifications, development and challenges. ICIS DA 2019 Portland, Kim Streuli, Molio, Molio specifications, development and challenges ICIS DA 2019 Portland, Kim Streuli, Molio, 2019-06-04 Introduction The current structure is challenged by different factors. These are for example : Complex

Læs mere

Sesam seminar nr. 106. Sesam seminar nr. 106 - Opbygning af standard bibliotek til PLC / SCADA / MES

Sesam seminar nr. 106. Sesam seminar nr. 106 - Opbygning af standard bibliotek til PLC / SCADA / MES Sesam seminar nr. 106 Opbygning af standard software bibliotek til PLC / SCADA / MES Fokus områder: Fundament & omfang af software bibliotek Overvejelser i forbindelse med etablering af bibliotek Vedligeholdelse

Læs mere

Maneurop reciprocating compressors

Maneurop reciprocating compressors MAKING MODERN LIVING POSSIBLE Maneurop reciprocating compressors MT - MTZ - LTZ - NTZ - 60 z R404A - R507A - R407C - R134a - R22 QUICK REFERENCE PRODUCT RANGE Nominal voltage M-BP Applications LBP Applications

Læs mere

Teknologispredning i sundhedsvæsenet DK ITEK: Sundhedsteknologi som grundlag for samarbejde og forretningsudvikling

Teknologispredning i sundhedsvæsenet DK ITEK: Sundhedsteknologi som grundlag for samarbejde og forretningsudvikling Teknologispredning i sundhedsvæsenet DK ITEK: Sundhedsteknologi som grundlag for samarbejde og forretningsudvikling 6.5.2009 Jacob Schaumburg-Müller jacobs@microsoft.com Direktør, politik og strategi Microsoft

Læs mere

HACKERNE BLIVER BEDRE, SYSTEMERNE BLIVER MERE KOMPLEKSE OG PLATFORMENE FORSVINDER HAR VI TABT KAMPEN? MARTIN POVELSEN - KMD

HACKERNE BLIVER BEDRE, SYSTEMERNE BLIVER MERE KOMPLEKSE OG PLATFORMENE FORSVINDER HAR VI TABT KAMPEN? MARTIN POVELSEN - KMD HACKERNE BLIVER BEDRE, SYSTEMERNE BLIVER MERE KOMPLEKSE OG PLATFORMENE FORSVINDER HAR VI TABT KAMPEN? MARTIN POVELSEN - KMD HVILKEN BIL VIL DU HELST KØRE GALT I? Bemærk at brug og antal Bemærk at brug

Læs mere

Øg sporbarhed og produktivitet gennem integration

Øg sporbarhed og produktivitet gennem integration Øg sporbarhed og produktivitet gennem integration Hvem er jeg? De næste 40 minu4er DevOps hos TestHuset En normal case - Problemstillinger - Hvordan vi arbejder med kunden - Løsning Q&A DevOps DevOps is

Læs mere

Fundamentals of HVAC Control Systems

Fundamentals of HVAC Control Systems ASHRAE Hong Kong Chapter Technical Workshop Fundamentals of HVAC Control Systems 18, 19, 25, 26 April 2007 2007 ASHRAE Hong Kong Chapter Slide 1 Chapter 4 Sensors and Auxiliary Devices 2007 ASHRAE Hong

Læs mere

Privat-, statslig- eller regional institution m.v. Andet Added Bekaempelsesudfoerende: string No Label: Bekæmpelsesudførende

Privat-, statslig- eller regional institution m.v. Andet Added Bekaempelsesudfoerende: string No Label: Bekæmpelsesudførende Changes for Rottedatabasen Web Service The coming version of Rottedatabasen Web Service will have several changes some of them breaking for the exposed methods. These changes and the business logic behind

Læs mere

Simulering af en Mux2

Simulering af en Mux2 Simulering af en Mux2 Indhold Start QuartusII op start et nyt projekt.... 2 Fitter opsætning... 6 Opstart af nyt Block diagram... 8 ModelSim... 14 Hvis man vil ændre data grafisk kan det også lade sig

Læs mere

Sorting on the SRC 6 Reconfigurable Computer

Sorting on the SRC 6 Reconfigurable Computer Sorting on the SRC 6 Reconfigurable Computer John arkins, Tarek El-Ghazawi, Esam El-Araby, Miaoqing uang The George Washington University Washington, DC J. arkins 1 of 51 MAPD2005/C178 Algorithms Quick

Læs mere

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012 Server side Programming Wedesign Forelæsning #8 Recap PHP 1. Development Concept Design Coding Testing 2. Social Media Sharing, Images, Videos, Location etc Integrates with your websites 3. Widgets extend

Læs mere

Central Statistical Agency.

Central Statistical Agency. Central Statistical Agency www.csa.gov.et 1 Outline Introduction Characteristics of Construction Aim of the Survey Methodology Result Conclusion 2 Introduction Meaning of Construction Construction may

Læs mere

Finn Gilling The Human Decision/ Gilling September Insights Danmark 2012 Hotel Scandic Aarhus City

Finn Gilling The Human Decision/ Gilling September Insights Danmark 2012 Hotel Scandic Aarhus City Finn Gilling The Human Decision/ Gilling 12. 13. September Insights Danmark 2012 Hotel Scandic Aarhus City At beslutte (To decide) fra latin: de`caedere, at skære fra (To cut off) Gilling er fokuseret

Læs mere

CS 4390/5387 SOFTWARE V&V LECTURE 5 BLACK-BOX TESTING - 2

CS 4390/5387 SOFTWARE V&V LECTURE 5 BLACK-BOX TESTING - 2 1 CS 4390/5387 SOFTWARE V&V LECTURE 5 BLACK-BOX TESTING - 2 Outline 2 HW Solution Exercise (Equivalence Class Testing) Exercise (Decision Table Testing) Pairwise Testing Exercise (Pairwise Testing) 1 Homework

Læs mere

Using SL-RAT to Reduce SSOs

Using SL-RAT to Reduce SSOs Using SL-RAT to Reduce SSOs Daniel R. Murphy, P.E. Lindsey L. Donbavand November 17, 2016 Presentation Outline Background Overview of Acoustic Inspection Approach Results Conclusion 2 Background Sanitary

Læs mere

Sortering fra A-Z. Henrik Dorf Chefkonsulent SAS Institute

Sortering fra A-Z. Henrik Dorf Chefkonsulent SAS Institute Sortering fra A-Z Henrik Dorf Chefkonsulent SAS Institute Hvorfor ikke sortering fra A-Å? Det er for svært Hvorfor ikke sortering fra A-Å? Hvorfor ikke sortering fra A-Å? Hvorfor ikke sortering fra A-Å?

Læs mere

A multimodel data assimilation framework for hydrology

A multimodel data assimilation framework for hydrology A multimodel data assimilation framework for hydrology Antoine Thiboult, François Anctil Université Laval June 27 th 2017 What is Data Assimilation? Use observations to improve simulation 2 of 8 What is

Læs mere

What s Our Current Position? Uddannelsesstruktur i AUE. What Can You Choose After DE5? Uddannelsesstruktur i AUE

What s Our Current Position? Uddannelsesstruktur i AUE. What Can You Choose After DE5? Uddannelsesstruktur i AUE Information Meeting for DE5 and DE3 Further Study Possibilities What s Our Current Position? General Education Structure Andre muligheder DE3-DE5 Semesters (AUE) What Can You Choose After DE5? Diplomingeniøruddannelsen

Læs mere

Delta Elektronik A/S - AKD

Delta Elektronik A/S - AKD Delta Elektronik A/S - AKD Hardware og type oversigt Grundlæggende oplysninger med forbindelser Opsætning af IP adresser på drev alle muligheder Gennemgang af WorkBench Up/Down load parametre filer Mest

Læs mere

Automatic Code Orchestration from Descriptive Implementations

Automatic Code Orchestration from Descriptive Implementations ft her Automatic Code Orchestration from Descriptive Implementations older Professor Brian Vinter Niels Bohr Institute KU ft her Automatic Code Orchestration from Descriptive Implementations Prototypin

Læs mere

MSE PRESENTATION 2. Presented by Srunokshi.Kaniyur.Prema. Neelakantan Major Professor Dr. Torben Amtoft

MSE PRESENTATION 2. Presented by Srunokshi.Kaniyur.Prema. Neelakantan Major Professor Dr. Torben Amtoft CAPABILITY CONTROL LIST MSE PRESENTATION 2 Presented by Srunokshi.Kaniyur.Prema. Neelakantan Major Professor Dr. Torben Amtoft PRESENTATION OUTLINE Action items from phase 1 presentation tti Architecture

Læs mere

Strategic Business Area. LINAK og vores rejse ind i AUTOMOTIVE krav og regulativer

Strategic Business Area. LINAK og vores rejse ind i AUTOMOTIVE krav og regulativer LINAK og vores rejse ind i AUTOMOTIVE krav og regulativer LINAK - gets you moving From less complicated to complex and heavy-duty applications 2 LINAK in brief More than 1,800 dedicated employees Production

Læs mere

Vandeffektive mejerier. Projekter mellem Arla Foods og Ecolab

Vandeffektive mejerier. Projekter mellem Arla Foods og Ecolab 1 Vandeffektive mejerier Projekter mellem Arla Foods og Ecolab Optimisation of TCO (Total Cost of Operations) 2 3 TCO Project Model TASK FORCE ANALYSIS OPTIMIZATION VALIDATION Prioritization of projects

Læs mere

Bilag 8. TDC technical requirements for approval of splitterfilters and inline filters intended for shared access (ADSL or VDSL over POTS).

Bilag 8. TDC technical requirements for approval of splitterfilters and inline filters intended for shared access (ADSL or VDSL over POTS). Bilag 8. TDC technical requirements for approval of splitters and inline s intended for shared access (ADSL or VDSL over POTS). Dette bilag udgør bilag 8 til det mellem parterne tiltrådte Produkttillæg

Læs mere

Et debatoplæg af Nordcad Systems A/S. Component flow hvordan vælge man de rigtige komponenter?

Et debatoplæg af Nordcad Systems A/S. Component flow hvordan vælge man de rigtige komponenter? Et debatoplæg af Nordcad Systems A/S Component flow hvordan vælge man de rigtige komponenter? We offer our users the best technological platform for electronics design, and strengthen their skill set,

Læs mere

GAMPIX: a new generation of gamma camera for hot spot localisation

GAMPIX: a new generation of gamma camera for hot spot localisation GAMPIX: a new generation of gamma camera for hot spot localisation F. CARREL (1), R. ABOU KHALIL (2), P. BLOT (2), S. COLAS (3),M. GMAR (1), F. LEMASLE (2), N. SAUREL (3), V. SCHOEPFF (1), H. TOUBON (2)

Læs mere

En god Facebook historie Uddannelser og valgfag målrettet datacenterindustrien!?

En god Facebook historie Uddannelser og valgfag målrettet datacenterindustrien!? En god Facebook historie Uddannelser og valgfag målrettet datacenterindustrien!? DDI møde 18.09.2019 - UCL, Odense. V/ Projektleder og lektor Lars Bojen, IT & Tech uddannelserne, lcbn@ucl.dk Agenda 1.

Læs mere

Information Meeting for DE5 and DE3 Further Study Possibilities

Information Meeting for DE5 and DE3 Further Study Possibilities Information Meeting for DE5 and DE3 Further Study Possibilities General Education Structure Diplomingeniør i Datateknik og Elektronik Civilingeniør i Datateknik og Elektronik Civilingeniør i Softwarekonstruktion

Læs mere

Mustafa Saglam SAP Integration & Certification Center

Mustafa Saglam SAP Integration & Certification Center SAP Enterprise Portal Business Package Certification Mustafa Saglam SAP Integration & Certification Center EP-BP 6.0 Certification Agenda Introduction to EP-BP 6.0 Certification Criteria Implementation

Læs mere

Heuristics for Improving

Heuristics for Improving Heuristics for Improving Model Learning Based Testing Muhammad Naeem Irfan VASCO-LIG LIG, Computer Science Lab, Grenoble Universities, 38402 Saint Martin d Hères France Introduction Component Based Software

Læs mere

LEADit & USEit 2018 CampusHuset - Campus Bindslevs Plads i Silkeborg 25. Oktober 2018

LEADit & USEit 2018 CampusHuset - Campus Bindslevs Plads i Silkeborg 25. Oktober 2018 LEADit & USEit 2018 CampusHuset - Campus Bindslevs Plads i Silkeborg 25. Oktober 2018 Global visibility for assets and field equipment - Enhancing efficiency, utilization, and safety Agenda Forhistorie,

Læs mere

Lars Gregersen Technical Product Manager COMSOL A/S larsg@comsol.dk. Fremtidens Matematik

Lars Gregersen Technical Product Manager COMSOL A/S larsg@comsol.dk. Fremtidens Matematik Lars Gregersen Technical Product Manager COMSOL A/S larsg@comsol.dk Fremtidens Matematik Agenda Baggrund COMSOL og IDA Matematik COMSOL Multiphysics Udvikling Anvendelse Matematisk software Hvad kan vi

Læs mere

Design by Contract. Design and Programming by Contract. Oversigt. Prædikater

Design by Contract. Design and Programming by Contract. Oversigt. Prædikater Design by Contract Design and Programming by Contract Anne Haxthausen ah@imm.dtu.dk Informatics and Mathematical Modelling Technical University of Denmark Design by Contract er en teknik til at specificere

Læs mere

EU funding guide: Why and how to apply in Horizon 2020

EU funding guide: Why and how to apply in Horizon 2020 Horizon2020 (2014-2020): budget of just over 70 billion EU funding guide: Why and how to apply in Horizon 2020 Nikolaj Helm-Petersen nikhel@um.dk Innovation Centre Denmark Munich. Danish research attaché

Læs mere

Verilog HDL. Presented by: Amir Masoud Gharehbaghi

Verilog HDL. Presented by: Amir Masoud Gharehbaghi Verilog HDL Presented by: Amir Masoud Gharehbaghi Email: amgh@mehr.sharif.edu Design Hierarchy Design Specification & Requirements Behavioral Design Register Transfer Level (RTL) Design Logic Design Circuit

Læs mere

Susan Svec of Susan s Soaps. Visit Her At:

Susan Svec of Susan s Soaps. Visit Her At: Susan Svec of Susan s Soaps Visit Her At: www.susansoaps.com Background Based on All-Natural Soap and Other Products Started Due to Experience with Eczema Common Beginning Transition to Business Started

Læs mere

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints Particle-based T-Spline Level Set for 3D Object Reconstruction with Range and Volume Constraints Robert Feichtinger (joint work with Huaiping Yang, Bert Jüttler) Institute of Applied Geometry, JKU Linz

Læs mere

2a. Conceptual Modeling Methods

2a. Conceptual Modeling Methods ICT Enhanced Buildings Potentials IKT og Videnrepræsentationer - ICT and Knowledge Representations. 2a. Conceptual Modeling Methods Cand. Scient. Bygningsinformatik. Semester 2, 2010. CONTENT Conceptual

Læs mere

United Nations Secretariat Procurement Division

United Nations Secretariat Procurement Division United Nations Secretariat Procurement Division Vendor Registration Overview Higher Standards, Better Solutions The United Nations Global Marketplace (UNGM) Why Register? On-line registration Free of charge

Læs mere

CISM COURSE COMPUTATIONAL ACOUSTICS

CISM COURSE COMPUTATIONAL ACOUSTICS CISM COURSE COMPUTATIONAL ACOUSTICS Solvers Part 5: Multigrid II Ulrich Langer and Martin Neumüller Institute of Computational Mathematics Johannes Kepler University Linz Udine, May 23-27, 2016 Outline

Læs mere

Udbud på engelsk i UCL. Skabelon til beskrivelse

Udbud på engelsk i UCL. Skabelon til beskrivelse Udbud på engelsk i UCL Skabelon til beskrivelse Indhold 1. Forord... 3 2. What to do... 3 3. Skabelon... 4 3.1 Course Overview... 4 3.2 Target Group... 4 3.3 Purpose of the module... 4 3.4 Content of the

Læs mere

Velkommen. Backup & Snapshot v. Jørgen Weinreich / Arrow ECS Technical Specialist

Velkommen. Backup & Snapshot v. Jørgen Weinreich / Arrow ECS Technical Specialist Velkommen Backup & Snapshot v. Jørgen Weinreich / Arrow ECS Technical Specialist 1 Agenda Fra backup til restore produkt Politikstyret Backup Live Demo 2 IBM XIV Snapshots - Næsten uden begrænsninger Snapshot

Læs mere

DSB s egen rejse med ny DSB App. Rubathas Thirumathyam Principal Architect Mobile

DSB s egen rejse med ny DSB App. Rubathas Thirumathyam Principal Architect Mobile DSB s egen rejse med ny DSB App Rubathas Thirumathyam Principal Architect Mobile Marts 2018 AGENDA 1. Ny App? Ny Silo? 2. Kunden => Kunderne i centrum 1 Ny app? Ny silo? 3 Mødetitel Velkommen til Danske

Læs mere

Getting your Agillic solution up-to-speed with your digital marketing ambitions

Getting your Agillic solution up-to-speed with your digital marketing ambitions Getting your Agillic solution up-to-speed with your digital marketing ambitions Tech talk Agillic Summit 2018 Bo Reenberg Tech lead, Responsive 1 The Reason??????? questionnaire Micro site Newsletter A

Læs mere

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1 VLSI Design DC & Transient Response 4: DC and Transient Response 1 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation 4: DC and Transient Response DC Response DC Response:

Læs mere

HA-SPAD MEDIA KIT

HA-SPAD MEDIA KIT HA-SPAD0223185808 2017 MEDIA KIT 147,912 1,064,820 WITH 55.6% MOBILE 3.76 (4.24 MOBILE) Source: Omniture Nov. 16 - Jan 17; Nielsen PRIZM 2015, Compete June 2014 - June 2015 Average USA Today Cars.com

Læs mere

Design til digitale kommunikationsplatforme-f2013

Design til digitale kommunikationsplatforme-f2013 E-travellbook Design til digitale kommunikationsplatforme-f2013 ITU 22.05.2013 Dreamers Lana Grunwald - svetlana.grunwald@gmail.com Iya Murash-Millo - iyam@itu.dk Hiwa Mansurbeg - hiwm@itu.dk Jørgen K.

Læs mere

IPv6 Application Trial Services. 2003/08/07 Tomohide Nagashima Japan Telecom Co., Ltd.

IPv6 Application Trial Services. 2003/08/07 Tomohide Nagashima Japan Telecom Co., Ltd. IPv6 Application Trial Services 2003/08/07 Tomohide Nagashima Japan Telecom Co., Ltd. Outline Our Trial Service & Technology Details Activity & Future Plan 2 Outline Our Trial Service & Technology Details

Læs mere

Melbourne Mercer Global Pension Index

Melbourne Mercer Global Pension Index 15 October 2009 Melbourne Global Pension Index Dr David Knox www.mercer.com.au The Genesis Victorian Government wants to highlight the significant role that Melbourne plays in the pension and funds management

Læs mere

From innovation to market

From innovation to market Nupark Accelerace From innovation to market Public money Accelerace VC Private Equity Stock market Available capital BA 2 What is Nupark Accelerace Hands-on investment and business developmentprograms

Læs mere

Portal Registration. Check Junk Mail for activation . 1 Click the hyperlink to take you back to the portal to confirm your registration

Portal Registration. Check Junk Mail for activation  . 1 Click the hyperlink to take you back to the portal to confirm your registration Portal Registration Step 1 Provide the necessary information to create your user. Note: First Name, Last Name and Email have to match exactly to your profile in the Membership system. Step 2 Click on the

Læs mere

Engineering of Chemical Register Machines

Engineering of Chemical Register Machines Prague International Workshop on Membrane Computing 2008 R. Fassler, T. Hinze, T. Lenser and P. Dittrich {raf,hinze,thlenser,dittrich}@minet.uni-jena.de 2. June 2008 Outline 1 Motivation Goal Realization

Læs mere

Analyse og simulering Anders M. Pedersen, Nordcad Systems A/S

Analyse og simulering Anders M. Pedersen, Nordcad Systems A/S Analyse og simulering Anders M. Pedersen, Nordcad Systems A/S Program for sessionen PSpice nyheder Mathworks samarbejde Plotting MATLAB funktioner i PSpice DMI Template Code Generator Opret skalkode til

Læs mere

Status på det trådløse netværk

Status på det trådløse netværk Status på det trådløse netværk Der er stadig problemer med det trådløse netværk, se status her: http://driftstatus.sdu.dk/?f=&antal=200&driftid=1671#1671 IT-service arbejder stadig med at løse problemerne

Læs mere

Applications. Computational Linguistics: Jordan Boyd-Graber University of Maryland RL FOR MACHINE TRANSLATION. Slides adapted from Phillip Koehn

Applications. Computational Linguistics: Jordan Boyd-Graber University of Maryland RL FOR MACHINE TRANSLATION. Slides adapted from Phillip Koehn Applications Slides adapted from Phillip Koehn Computational Linguistics: Jordan Boyd-Graber University of Maryland RL FOR MACHINE TRANSLATION Computational Linguistics: Jordan Boyd-Graber UMD Applications

Læs mere

KANDIDATUDDANNELSE I ROBOTTEKNOLOGI

KANDIDATUDDANNELSE I ROBOTTEKNOLOGI KANDIDATUDDANNELSE I ROBOTTEKNOLOGI THOMAS BAK 1971 2016 Baggrund Behov for uddannelsen Uddannelsens forskningsmæssige forankring Uddannelsens faglige profil Uddannelsens struktur og tilrettelæggelse Baggrund

Læs mere

how to save excel as pdf

how to save excel as pdf 1 how to save excel as pdf This guide will show you how to save your Excel workbook as PDF files. Before you do so, you may want to copy several sheets from several documents into one document. To do so,

Læs mere

Integrated Engine, Vehicle, and Underhood Model of a Light Duty Truck for VTM Analysis

Integrated Engine, Vehicle, and Underhood Model of a Light Duty Truck for VTM Analysis Integrated Engine, Vehicle, and Underhood Model of a Light Duty Truck for VTM Analysis GT-SUITE Conference 2009 Dr. Philip Keller Dr. Wolfgang Wenzel Dr. Michael Becker December 7, 2009 Outline Introduction

Læs mere

meter2cash Ltd. meter2cash www100 Internet Information System

meter2cash Ltd. meter2cash www100 Internet Information System meter2cash Ltd. meter2cash www100 Information System Information Service for Utilities Utilities Interest in the is high 62% believe it will be critical to their futures 1,200 Utility hosted sites expected

Læs mere

User Manual for LTC IGNOU

User Manual for LTC IGNOU User Manual for LTC IGNOU 1 LTC (Leave Travel Concession) Navigation: Portal Launch HCM Application Self Service LTC Self Service 1. LTC Advance/Intimation Navigation: Launch HCM Application Self Service

Læs mere

Engelsk. Niveau C. De Merkantile Erhvervsuddannelser September 2005. Casebaseret eksamen. www.jysk.dk og www.jysk.com.

Engelsk. Niveau C. De Merkantile Erhvervsuddannelser September 2005. Casebaseret eksamen. www.jysk.dk og www.jysk.com. 052430_EngelskC 08/09/05 13:29 Side 1 De Merkantile Erhvervsuddannelser September 2005 Side 1 af 4 sider Casebaseret eksamen Engelsk Niveau C www.jysk.dk og www.jysk.com Indhold: Opgave 1 Presentation

Læs mere

Software Design (SWD) Spørgsmål 1

Software Design (SWD) Spørgsmål 1 Spørgsmål 1 Unified Process Du skal give en beskrivelse af Unified Process. Beskrivelsen skal indeholde forklaring på følgende begreber: Phase Iteration Discipline Activity Milestone Artifact Spørgsmål

Læs mere

Strings and Sets: set complement, union, intersection, etc. set concatenation AB, power of set A n, A, A +

Strings and Sets: set complement, union, intersection, etc. set concatenation AB, power of set A n, A, A + Strings and Sets: A string over Σ is any nite-length sequence of elements of Σ The set of all strings over alphabet Σ is denoted as Σ Operators over set: set complement, union, intersection, etc. set concatenation

Læs mere

LX5280. High-Performance RISC-DSP for IP Licensing

LX5280. High-Performance RISC-DSP for IP Licensing High-Performance RISC-DSP for IP Licensing Bob Gelinas, Charlie Hauck, Pat Hays, Franklin Hooker, Kevin Joyce, Sol Katzman, Charley Lind, Elliot Mednick, Tat Ng, Jonah Probell, Sam Rosen, Bill Rubin Lexra,

Læs mere