Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication

Relaterede dokumenter
ECE 551: Digital System * Design & Synthesis Lecture Set 5

Verilog HDL. Presented by: Amir Masoud Gharehbaghi

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1

Linear Programming ١ C H A P T E R 2

Digital & Software Design. Software design for engineering systems

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU

Slot diffusers. Slot diffusers LD-17, LD-18

Black Jack --- Review. Spring 2012

Resource types R 1 1, R 2 2,..., R m CPU cycles, memory space, files, I/O devices Each resource type R i has W i instances.

ATEX direktivet. Vedligeholdelse af ATEX certifikater mv. Steen Christensen

Vina Nguyen HSSP July 13, 2008

TM4 Central Station. User Manual / brugervejledning K2070-EU. Tel Fax

On the complexity of drawing trees nicely: corrigendum

Engineering of Chemical Register Machines

Strings and Sets: set complement, union, intersection, etc. set concatenation AB, power of set A n, A, A +

Unitel EDI MT940 June Based on: SWIFT Standards - Category 9 MT940 Customer Statement Message (January 2004)

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints

CHAPTER 8: USING OBJECTS

Dynamic Voltage and Frequency Management Based on Variable Update Intervals

Aktivering af Survey funktionalitet

Molio specifications, development and challenges. ICIS DA 2019 Portland, Kim Streuli, Molio,

MSE PRESENTATION 2. Presented by Srunokshi.Kaniyur.Prema. Neelakantan Major Professor Dr. Torben Amtoft

Basic statistics for experimental medical researchers

frame bracket Ford & Dodge

South Baileygate Retail Park Pontefract

Solid TYRES for your FORKLIFT TRUCKS

CS 4390/5387 SOFTWARE V&V LECTURE 5 BLACK-BOX TESTING - 2

Privat-, statslig- eller regional institution m.v. Andet Added Bekaempelsesudfoerende: string No Label: Bekæmpelsesudførende

TDC 4 Indoor voltage transformers

WIO200A INSTALLATIONS MANUAL Rev Dato:

Measuring Evolution of Populations

Vores mange brugere på musskema.dk er rigtig gode til at komme med kvalificerede ønsker og behov.

l i n d a b presentation CMD 07 Business area Ventilation

UNISONIC TECHNOLOGIES CO.,

ME6212. High Speed LDO Regulators, High PSRR, Low noise, ME6212 Series. General Description. Typical Application. Package

Evaluating Germplasm for Resistance to Reniform Nematode. D. B. Weaver and K. S. Lawrence Auburn University

Portal Registration. Check Junk Mail for activation . 1 Click the hyperlink to take you back to the portal to confirm your registration

Elektriske apparater til husholdningsbrug o.l. Sikkerhed Del 1: Generelle krav

PMDK PC-Side Basic Function Reference (Version 1.0)

LX5280. High-Performance RISC-DSP for IP Licensing

Bilag 8. TDC technical requirements for approval of splitterfilters and inline filters intended for shared access (ADSL or VDSL over POTS).

Skriftlig Eksamen Kombinatorik, Sandsynlighed og Randomiserede Algoritmer (DM528)

Nyhedsmail, december 2013 (scroll down for English version)

Fejlbeskeder i SMDB. Business Rules Fejlbesked Kommentar. Validate Business Rules. Request- ValidateRequestRegist ration (Rules :1)

Special VFR. - ved flyvning til mindre flyveplads uden tårnkontrol som ligger indenfor en kontrolzone

The GAssist Pittsburgh Learning Classifier System. Dr. J. Bacardit, N. Krasnogor G53BIO - Bioinformatics

Sikkerhedsvejledning

Teknologispredning i sundhedsvæsenet DK ITEK: Sundhedsteknologi som grundlag for samarbejde og forretningsudvikling

OXFORD. Botley Road. Key Details: Oxford has an extensive primary catchment of 494,000 people

Brug sømbrættet til at lave sjove figurer. Lav fx: Få de andre til at gætte, hvad du har lavet. Use the nail board to make funny shapes.

GIGABIT COLOR IP PHONE

Bilag 1 GPS dataudskrifter fra Stena Carisma ved passage af målefelt

Learnings from the implementation of Epic

Beovox 5000 versions. Classic Audio Technical Information

EE 447 VLSI Design. Lecture 8: Circuit Families. EE 447 VLSI Design

Sortering fra A-Z. Henrik Dorf Chefkonsulent SAS Institute

Process Mapping Tool

Noter til kursusgang 8, IMAT og IMATØ

BILAG 3: UDKAST TIL FREKVENSTILLADELSE

Business Rules Fejlbesked Kommentar

SOFTWARE PROCESSES. Dorte, Ida, Janne, Nikolaj, Alexander og Erla

Årsagsteori. Kim Overvad Afdeling for Epidemiologi Institut for Folkesundhed Aarhus Universitet April 2011

Modeling levels. Levels of hardware modeling. Possible set of levels (others exist)

extreme Programming Kunders og udvikleres menneskerettigheder

Constant Terminal Voltage. Industry Workshop 1 st November 2013

LED STAR PIN G4 BASIC INFORMATION: Series circuit. Parallel circuit HOW CAN I UNDERSTAND THE FOLLOWING SHEETS?

Aarhus Universitet, Science and Technology, Computer Science. Exam. Wednesday 27 June 2018, 9:00-11:00

Angle Ini/al side Terminal side Vertex Standard posi/on Posi/ve angles Nega/ve angles. Quadrantal angle

Assembly Instructions. ROLZ-2 Portable AV/Conference Center

Fejlbeskeder i Stofmisbrugsdatabasen (SMDB)

Statistik for MPH: 7

Economy The cost minimization has finally started paying off, and will be used as a motivational factor in 2015.

Last Lecture CS Amp. I D V B M 2. I bias. A v. V out. V in. Simplified Schematic. Practical Implementation V GS

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/

Heuristics for Improving

The X Factor. Målgruppe. Læringsmål. Introduktion til læreren klasse & ungdomsuddannelser Engelskundervisningen

DAY HUNTER KIT ASSEMBLY INSTRUCTIONS

Mandara. PebbleCreek. Tradition Series. 1,884 sq. ft robson.com. Exterior Design A. Exterior Design B.

Datablad: Nature Impact Roof modul

Helbredsundersøgelsen af søfarende

Exercise 6.14 Linearly independent vectors are also affinely independent.

Satisability of Boolean Formulas

Filtering on Wires Cable Ferrites, Usage & Comparison Alex Snijder Field Application Engineer Wurth Elektronik Nederland B.V.

v Motivation v Multi- Atlas Segmentation v Learn Dictionary v Apply Dictionary v Results

Name: Week of April 1 MathWorksheets.com

Developing a tool for searching and learning. - the potential of an enriched end user thesaurus

DSB s egen rejse med ny DSB App. Rubathas Thirumathyam Principal Architect Mobile

User Manual for LTC IGNOU

Side 1 af 9. SEPA Direct Debit Betalingsaftaler Vejledning

The test can be performed on the following devices. In addition, the required cuvette and the absorption range of the photometer are indicated.

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US

Cross-Sectorial Collaboration between the Primary Sector, the Secondary Sector and the Research Communities

Vi ska ha det vand vi kan drikke

Sikkerhed & Revision 2013

Statistik for MPH: oktober Attributable risk, bestemmelse af stikprøvestørrelse (Silva: , )

Gusset Plate Connections in Tension

Netværksalgoritmer 1

Differential Evolution (DE) "Biologically-inspired computing", T. Krink, EVALife Group, Univ. of Aarhus, Denmark

DANSK DANish helpdesk

Engelsk. Niveau D. De Merkantile Erhvervsuddannelser September Casebaseret eksamen. og

Transkript:

Basic Design Flow System design System/Architectural Design Instruction set for processor Hardware/software partition Memory, cache Logic design Logic Design Logic synthesis Logic optimization Technology mapping Physical design Physical Design/Layout Floorplanning Placement Routing Fabrication Logic Synthesis_Supp 1of 24 Design Cycles HDL System/Architectural Design Logic Design Verification/Simulation Physical Design/Layout Parasitic Extraction Fabrication Testing Logic Synthesis_Supp 2of 24 1

Design and Technology Styles Custom design Mostly manual design, long design cycle High performance, high volume Microprocessors, analog, leaf cells, IP Standard cell Pre-designed cells, CAD, short design cycle Medium performance, ASIC FPGA/PLD Pre-fabricated, fast automated design, low cost Prototyping, reconfigurable computing Logic Synthesis_Supp 3of 24 Synthesis Logic synthesis Boolean descriptions => circuits RTL synthesis RTL descriptions => Boolean descriptions Behavioral synthesis Behavioral descriptions => RTL descriptions Logic Synthesis_Supp 4of 24 2

Logic Synthesis Behavioral Descriptions Technology Libraries Translation Engine Optimization Engine Mapping Engine Two-level Logic Functions Optimized Multilevel Logic Functions Technology Implementation Logic Synthesis_Supp 5of 24 Translation Engine Read in HDL-based descriptions Translate into Boolean equations SOP sum of product POS product of sum A HDL description consisting only of a netlist of combinational primitives without feedback can always be synthesized Logic Synthesis_Supp 6of 24 3

Logic Optimization Remove redundant logic, exploit logic sharing Substitution Factoring Decomposition Extraction Elimination/flattening Logic Synthesis_Supp 7of 24 Substitution Express a Boolean function in terms of its inputs and another function G = a + b F = a + b + c G = a + b F = G + c Logic Synthesis_Supp 8of 24 4

Factoring Find the common factors among a set of functions F = ac + ad + bc + bd + e F = ( a + b ) ( c + d ) + e Two-level => multi-level Area reduced Delay increased Logic Synthesis_Supp 9of 24 Decomposition Express one Boolean function in terms of new nodes F = abc + abd + a c d + b c d 9 gates, 40 transistors F = XY + X Y X = ab Y = c + d 7 gates, 24 transistors Logic Synthesis_Supp 10 of 24 5

Extraction Express a set of Boolean functions in terms of new nodes F = ( a + b ) cd + e G = ( a + b ) e H = cde X = a + b Y = cd F = XY + e G = Xe H = Ye Logic Synthesis_Supp 11 of 24 Elimination / Flattening Remove a node in a function Increase area Reduce delay F = Ga + G b G = c + d F = ac + ad + bc d Logic Synthesis_Supp 12 of 24 6

Combinational Logic Delay clock Register Primary Input Combinational Logic Register Primary Output Combinational logic delay <= clock period Logic Synthesis_Supp 13 of 24 LOGIC SYNTHESIS DESIGN Original Network Logic Synthesis Logic Optimization Optimized Network Technology Mapping Optimized Circuit Logic Synthesis_Supp 14 of 24 7

K Map shows the relationship between inputs and outputs horizontally and vertically adjacent squares differ only in one variable CD Logic Synthesis_Supp 15 of 24 Looping :a process combining the squares which contain 1s. Looping can eliminate the variable that appears in complemented & uncomplemented form! Examples of looping pairs of adjacent 1s Logic Synthesis_Supp 16 of 24 8

Looping can eliminate the 2 variables that appear in both complemented & uncomplemented form! Examples of looping groups of four 1s (quads) Logic Synthesis_Supp 17 of 24 Looping can eliminate the 3 variables that appear in both complemented & uncomplemented form! Examples of looping groups of eight 1s (octets) Logic Synthesis_Supp 18 of 24 9

Complete Simplification Process Construct the K map, place 1s as indicated in the truth table. Loop 1s (not adjacent to any other 1s). Loop 1s (adjacent to only one other 1) that are in pairs. Loop 1s in octets even if they have already been looped. Loop quads that have one or more 1s not already looped. Loop any pairs necessary to include 1s not already looped. Form the OR sum of terms generated by each loop. Logic Synthesis_Supp 19 of 24 EXAMPLES Logic Synthesis_Supp 20 of 24 10

Don t-care Conditions are certain input conditions for which there are no specified output levels. Don t-care conditions should be changed to either 0 or 1 to produce K-map looping that yields the simplest expression. Logic Synthesis_Supp 21 of 24 REVIEW EXAMPLE Logic Synthesis_Supp 22 of 24 11

SUMMARY Compared to the algebraic method, the K-map process is a more orderly process requiring fewer steps and always producing a minimum expression. Logic Synthesis_Supp 23 of 24 More for Grad. Students 5-variable K-MapK Sum-of of-products minimization Exclusive-OR based K-MapK Logic Synthesis_Supp 24 of 24 12