Design Wave Magazine 2003 November 151

Størrelse: px
Starte visningen fra side:

Download "Design Wave Magazine 2003 November 151"

Transkript

1 Design Wve Mgzine 2003 Novemer 151

2 152 Design Wve Mgzine 2003 Novemer GF X Y mxx x x x GF GF

3 GF GF yy GF y y y y y y y y y A B Ax x x x x x x x Bx x x x x x x x Cxc x c x c x c x c x c x c x c x c x c x c x c x c x c x c c c c c c c c c c c c c c c c GF y f GF f y y y y y y y y Design Wve Mgzine 2003 Novemer 153

4 GF mxx x x x x x x x x x x x x x x x x x x x x x x x x x x x x x xx x x x x x x x x x x x x x x xx x x x x x x x x x x x CxDx Dxd x d x d x d x d x d x d x d d c c c c d c c c c d c c c c d c c c c c d c c c c c c c d c c c c d c c c c c d c c c c A B Ddddddddd 154 Design Wve Mgzine 2003 Novemer

5 ASP-DAC Advnces in Cryptology -ASIACRYPT Design Wve Mgzine 2003 Novemer 155

6 -- Univ. of the Ryukyus LSI design contest SuBytes Trnsform Circuit for AES Cipher -- file: sender.vhd -- Sender genertes 8 it integer from 0 to Tom Wd 2003/Septemer/15 lirry IEEE; use IEEE.STD_LOGIC_1164.ll, IEEE.NUMERIC_STD.ll; entity SENDER is port ( CLK : in std_logic; RESET : in std_logic; PLAIN : out unsigned (7 downto 0) ); end entity SENDER; rchitecture RTL of SENDER is -- signl define signl count : unsigned (7 downto 0); -- 8 it counter egin it counter COUNTER: process(clk) egin if rising_edge(clk) then if (RESET1) then count < ; else count < count + 1; end process COUNTER; OUTPUT GEN PLAIN < count; end rchitecture RTL; -- Univ. of the Ryukyus LSI design contest SuBytes Trnsform Circuit for AES Cipher -- file: test_suytes.vhd -- TESTBENCH -- Tom Wd 2003/Septemer/15 lirry IEEE; use IEEE.STD_LOGIC_1164.ll, IEEE.NUMERIC_STD.ll; entity TEST_SUBBYTES is end entity TEST_SUBBYTES; rchitecture TESTBENCH of TEST_SUBBYTES is -- sender component SENDER port ( CLK : in std_logic; RESET : in std_logic; PLAIN : out unsigned (7 downto 0) ); end component SENDER; -- suytes component SUBBYTES port ( CLK : in std_logic; RESET : in std_logic; XIN : in unsigned(7 downto 0); INV : in std_logic; YOUT : out unsigned(7 downto 0) ); end component SUBBYTES; -- system clock signl CLK : std_logic : 0 ; -- system reset signl RESET : std_logic : 1; -- cycle count signl cycle : integer :0; -- wires on the ord signl PLAIN : unsigned (7 downto 0); signl YOUT : unsigned (7 downto 0); signl INV : std_logic : 0; egin -- clock genertor CLOCK_GEN: process egin if (cycle < 1000) then cycle < cycle + 1; wit for 10 ns; CLK < not CLK; else wit; end process CLOCK_GEN; -- reset sequence RESET_GEN: process egin LOOP1: for N in 0 to 5 loop wit until flling_edge(clk); end loop LOOP1; RESET < 0; end process RESET_GEN; -- sender instnce I_SENDER: SENDER port mp(clk,reset,plain); -- suytes instnce I_SUBBYTES: SUBBYTES port mp(clk,reset,plain,inv,yout); end rchitecture TESTBENCH; configurtion CFG_SUBBYTES of TEST_SUBBYTES is for TESTBENCH end for; end configurtion CFG_SUBBYTES; 156 Design Wve Mgzine 2003 Novemer

7 -- Univ. of the Ryukyus LSI design contest SuBytes Trnsform Circuit for AES Cipher -- file: SuBytes.vhd -- comintionl logic of SuBytes trnsform -- Tom Wd 2003/Septemer/15 lirry IEEE; use IEEE.STD_LOGIC_1164.ll, IEEE.NUMERIC_STD.ll; entity SUBBYTES is port (CLK : in std_logic; RESET : in std_logic; XIN : in unsigned( 7 downto 0 ); INV : in std_logic; -- NOT SUPPORTED FOR THIS YOUT : out unsigned( 7 downto 0) ); end entity SUBBYTES; rchitecture RTL of SUBBYTES is -- type definition for 256 word x 8 it Inverse ROM type vectype is rry (0 to 255) of unsigned (7 downto 0); -- ROM invrom constnt invrom : vectype : ( 0 > , 1 > , 2 > , 3 > , 4 > , 5 > , 6 > , 7 > , 8 > , 9 > , 10 > , 11 > , 12 > , 13 > , 14 > , 15 > , 16 > , 17 > , 18 > , 19 > , 20 > , 21 > , 22 > , 23 > , 24 > , 25 > , 26 > , 27 > , 28 > , 29 > , 30 > , 31 > , 32 > , 33 > , 34 > , 35 > , 36 > , 37 > , 38 > , 39 > , 40 > , 41 > , 42 > , 43 > , 44 > , 45 > , 46 > , 47 > , 48 > , 49 > , 50 > , 51 > , 52 > , 53 > , 54 > , 55 > , 56 > , 57 > , 58 > , 59 > , 60 > , 61 > , 62 > , 63 > , 64 > , 65 > , 66 > , 67 > , 68 > , 69 > , 70 > , 71 > , 72 > , 73 > , 74 > , 75 > , 76 > , 77 > , 78 > , 79 > , 80 > , 81 > , 82 > , 83 > , 84 > , 85 > , 86 > , 87 > , 88 > , 89 > , 90 > , 91 > , 92 > , 93 > , 94 > , 95 > , 96 > , 97 > , 98 > , 99 > , 100 > , 101 > , 102 > , 103 > , 104 > , 105 > , 106 > , 107 > , 108 > , 109 > , 110 > , 111 > , 112 > , 113 > , 114 > , 115 > , 116 > , 117 > , 118 > , 119 > , 120 > , 121 > , 122 > , 123 > , 124 > , 125 > , 126 > , 127 > , 128 > , 129 > , 130 > , 131 > , 132 > , 133 > , 134 > , 135 > , 136 > , 137 > , 138 > , 139 > , 140 > , 141 > , 142 > , 143 > , 144 > , 145 > , 146 > , 147 > , 148 > , 149 > , 150 > , 151 > , 152 > , 153 > , 154 > , 155 > , 156 > , 157 > , 158 > , 159 > , 160 > , 161 > , 162 > , 163 > , 164 > , 165 > , 166 > , 167 > , 168 > , 169 > , 170 > , 171 > , 172 > , 173 > , 174 > , 175 > , 176 > , 177 > , 178 > , 179 > , 180 > , 181 > , 182 > , 183 > , 184 > , 185 > , 186 > , 187 > , 188 > , 189 > , 190 > , 191 > , 192 > , 193 > , 194 > , 195 > , 196 > , 197 > , 198 > , 199 > , 200 > , 201 > , 202 > , 203 > , 204 > , Design Wve Mgzine 2003 Novemer 157

8 205 > , 206 > , 207 > , 208 > , 209 > , 210 > , 211 > , 212 > , 213 > , 214 > , 215 > , 216 > , 217 > , 218 > , 219 > , 220 > , 221 > , 222 > , 223 > , 224 > , 225 > , 226 > , 227 > , 228 > , 229 > , 230 > , 231 > , 232 > , 233 > , 234 > , 235 > , 236 > , 237 > , 238 > , 239 > , 240 > , 241 > , 242 > , 243 > , 244 > , 245 > , 246 > , 247 > , 248 > , 249 > , 250 > , 251 > , 252 > , 253 > , 254 > , 255 > ); if rising_edge(clk) then if (RESET1) then invout < ; else invout < invrom(to_integer(xin)); end process INVERSE; -- AFFINE TRANSFORM AFFINE_TRAN: process(clk) egin if rising_edge(clk) then ffine(7) < invout(7) xor invout(6) xor invout(5) xor invout(4) xor invout(3) xor 0; ffine(6) < invout(6) xor invout(5) xor invout(4) xor invout(3) xor invout(2) xor 1; ffine(5) < invout(5) xor invout(4) xor invout(3) xor invout(2) xor invout(1) xor 1; ffine(4) < invout(4) xor invout(3) xor invout(2) xor invout(1) xor invout(0) xor 0; ffine(3) < invout(7) xor invout(3) xor invout(2) xor invout(1) xor invout(0) xor 0; ffine(2) < invout(7) xor invout(6) xor invout(2) xor invout(1) xor invout(0) xor 0; ffine(1) < invout(7) xor invout(6) xor invout(5) xor invout(1) xor invout(0) xor 1; ffine(0) < invout(7) xor invout(6) xor invout(5) xor invout(4) xor invout(0) xor 1; end process AFFINE_TRAN; -- OUTPUT GENERATION YOUT < ffine; end rchitecture RTL; lirry IEEE; use IEEE.STD_LOGIC_1164.ll, IEEE.NUMERIC_STD.ll; entity PARITY is port ( A : in unsigned(49 downto 0); Y : out std_logic ); end PARITY; rchitecture RTL of PARITY is egin -- signl defininition signl invout : unsigned (7 downto 0); -- Inverse output signl ffine : unsigned (7 downto 0); -- ffin trnsform output egin -- INVERSE OUTPUT INVERSE: process(clk) egin process(a) vrile TMP : std_logic; egin TMP : 0; for i in 0 to 49 loop TMP : TMP xor A(i); end loop; Y < TMP; end process; end RTL; 158 Design Wve Mgzine 2003 Novemer

ECE 551: Digital System * Design & Synthesis Lecture Set 5

ECE 551: Digital System * Design & Synthesis Lecture Set 5 ECE 551: Digital System * Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) 5.2: Verilog Simulation I/O and 2001 Standard (In Separate File) 3/4/2003 1 ECE

Læs mere

Speciale. Evaluering af Java til udvikling af indlejrede realtidssystemer ved brug af en eksisterende Java Optimized Processor (JOP)

Speciale. Evaluering af Java til udvikling af indlejrede realtidssystemer ved brug af en eksisterende Java Optimized Processor (JOP) Speciale Evaluering af Java til udvikling af indlejrede realtidssystemer ved brug af en eksisterende Java Optimized Processor (JOP) Speciale efterår 2005 Teknisk Informationsteknologi Jan Lauritzen & Mads

Læs mere

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1 Project Step 7 Behavioral modeling of a dual ported register set. Copyright 2006 - Joanne DeGroat, ECE, OSU 1 The register set Register set specifications 16 dual ported registers each with 16- bit words

Læs mere

Internt interrupt - Arduino

Internt interrupt - Arduino Programmering for begyndere Brug af Arduino Internt interrupt - Arduino - Afslutning EDR Hillerød Knud Krogsgaard Jensen / OZ1QK 1 Intern interrupt Jeg vil rydde lidt op. Her er nogle punkter vil har glemt

Læs mere

26 Programbeviser I. Noter. PS1 -- Programbeviser I. Bevis kontra 'check af assertions' i Eiffel. Betingelser og bevisregler.

26 Programbeviser I. Noter. PS1 -- Programbeviser I. Bevis kontra 'check af assertions' i Eiffel. Betingelser og bevisregler. 26 Programbeviser I. Bevis kontra 'check af assertions' i Eiffel. Betingelser og bevisregler. Hvad er programverifikation? Bevisregel for 'tom kommando'. Bevisregel for assignment. Bevisregler for selektive

Læs mere

Verilog HDL. Presented by: Amir Masoud Gharehbaghi

Verilog HDL. Presented by: Amir Masoud Gharehbaghi Verilog HDL Presented by: Amir Masoud Gharehbaghi Email: amgh@mehr.sharif.edu Design Hierarchy Design Specification & Requirements Behavioral Design Register Transfer Level (RTL) Design Logic Design Circuit

Læs mere

! "# $$ &'()*"* +*, & &"*0* & "# % %- %

! # $$ &'()** +*, & &*0* & # % %- % !"! "# $$ & &'()*"* +*, &- & &"./+0 & &"*0* & & & 1 2 ()))))$$" "# - " # $!&!!" 34 3((5(4 6()))))5 3((5# 7())))) 4 3((58 43((58 3((5#9! 3((5#4 3((584 6 9 # '"!&()!" * " 49 9-3 :; & Private Sub Workbook_Open()

Læs mere

ECE 551: Digital System Design & Synthesis Lecture Set 5

ECE 551: Digital System Design & Synthesis Lecture Set 5 ECE 551: Digital System Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) (In Separate File) 5.2: Verilog Simulation I/O, Compiler Directives, and 2001 Standard

Læs mere

Designing Complex FPGAs

Designing Complex FPGAs ALSE France - Sept 2001 Designing Complex FPGAs -do it right, the first time- Bert CUZEAU Technical Manager - ALSE ASIC / FPGA Design Expert Doulos HDL Instructor (Verilog-VHDL) info@alse-fr.com http://www.alse-fr.com

Læs mere

Heuristics for Improving

Heuristics for Improving Heuristics for Improving Model Learning Based Testing Muhammad Naeem Irfan VASCO-LIG LIG, Computer Science Lab, Grenoble Universities, 38402 Saint Martin d Hères France Introduction Component Based Software

Læs mere

program fibomain(input,output); var i, j,result : integer; var x, y: integer;

program fibomain(input,output); var i, j,result : integer; var x, y: integer; program fibomain(input,output); var i, j,result : integer; procedure fib(n : integer); var x, y: integer; begin if (n=0) or (n=1) then result := 1 else begin fib(n-1); x:= result; fib(n-2); y:= result;

Læs mere

14 Algoritmeanalyse. Noter. Algoritmebegrebet. Hvad er algoritmeanalyse? Problemstørrelse og køretid. Køretid for forskellige kontrolstrukturer.

14 Algoritmeanalyse. Noter. Algoritmebegrebet. Hvad er algoritmeanalyse? Problemstørrelse og køretid. Køretid for forskellige kontrolstrukturer. 14 Algoritmeanalyse. Algoritmebegrebet. Hvad er algoritmeanalyse? Problemstørrelse og køretid. O og Ω. Køretid for forskellige kontrolstrukturer. Eksempler på algoritmeanalyse. Eksponentiel og polynomiel

Læs mere

Det Digitale Niveau. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet

Det Digitale Niveau. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet Det Digitale Niveau Niels Olof Bouvin Institut for Datalogi Aarhus Universitet Level : Det digitale niveau Level 5 Problem-oriented language level Translation (compiler) Level 4 Assembly language level

Læs mere

Det er muligt at chekce følgende opg. i CodeJudge: og

Det er muligt at chekce følgende opg. i CodeJudge: og Det er muligt at chekce følgende opg. i CodeJudge:.1.7 og.1.14 Exercise 1: Skriv en forløkke, som producerer følgende output: 1 4 9 16 5 36 Bonusopgave: Modificer dit program, så det ikke benytter multiplikation.

Læs mere

! #!! $ % $! & " &'"! & *+ "! " $ $ ""!,-! $!.! $! " # 1!! &' "

! #!! $ % $! &  &'! & *+ !  $ $ !,-! $!.! $!  # 1!! &' ""# "" # $ % $ & " &'" & " "()" *+ " " $ $ *+" $ %"&'" "( "",- $. + /"&'"-0 $ " # 1 &' " +"% $ %'('" 2 ' ) )030 )030) * )033 " )033 // " " 1 1 41 ")035)036 5- " " " *+773,8 *+ % " " )035& " )036& " 1 %"

Læs mere

Intro til AVR. Mads Pedersen, OZ6HR mads@oz6hr.dk

Intro til AVR. Mads Pedersen, OZ6HR mads@oz6hr.dk Intro til AVR Mads Pedersen, OZ6HR mads@oz6hr.dk Projektidé Brug en AVR-microcontroller Mål temperatur vha. temperatursensor Vis på display Send til computer og vis temperatur Slide 2 Plan 27/10-2005:

Læs mere

Med udgangspunkt i FIPS-197-standarden AES, baseret på Rijndael-algoritmen. Af Mathias Vestergaard

Med udgangspunkt i FIPS-197-standarden AES, baseret på Rijndael-algoritmen. Af Mathias Vestergaard Med udgangspunkt i FIPS-97-standarden AES, baseret på Rijndael-algoritmen Af Mathias Vestergaard F O R O R D " " " # # " $ # % '(%) '(%) %* %* +,-.), ) ( " $ 0 2 2 + 3 $ ' {0000} $, AA ) 4555 67 +8 9 :;

Læs mere

Dette korte foredrag er opdelt i 3 dele: 1. ARDUINO i flere versioner, hvad er det? 2. DEMO-video 3. Din fremtidige Oscillator, SI5351A 4.

Dette korte foredrag er opdelt i 3 dele: 1. ARDUINO i flere versioner, hvad er det? 2. DEMO-video 3. Din fremtidige Oscillator, SI5351A 4. APPETITVÆKKEREN OZ6YM, Palle A. Andersen Efteråret 2017 Dette korte foredrag er opdelt i 3 dele: 1. ARDUINO i flere versioner, hvad er det? 2. DEMO-video 3. Din fremtidige Oscillator, SI5351A 4. DEMO-video

Læs mere

Chapter. Information Representation

Chapter. Information Representation Chapter 3 Information Representation (a) A seven-bit cell. Figure 3. Figure 3. (Continued) (b) Some possible values in a seven-bit cell. Figure 3. (Continued) 6 8 7 2 5 J A N U A R Y (c) Some impossible

Læs mere

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU OUTLINE INEFFICIENCY OF ATTILA WAYS TO PARALLELIZE LOW COMPATIBILITY IN THE COMPILATION A SOLUTION

Læs mere

Niveauer af abstrakte maskiner

Niveauer af abstrakte maskiner Det digitale niveau Niveauer af abstrakte maskiner Mikroarkitektur: Mic-1 Digitale kredsløb Logiske tilstande: 0 (0-1 V), 1 (2-5 V) Mikroarkitektur: Mic-1 Kombinatoriske kredsløb Logiske tilstande: 0 (0-1

Læs mere

"# $%$ " # $ % $ $ " & ( ) *+!,! Sum_Cost >= 5000SirName = Beltov Continue = %!- + ( ( - True) Continue *! If Antal <= 20 Then EnhedsOmk = 1.

# $%$  # $ % $ $  & ( ) *+!,! Sum_Cost >= 5000SirName = Beltov Continue = %!- + ( ( - True) Continue *! If Antal <= 20 Then EnhedsOmk = 1. "# $$ " # $ && & ' $ $ " & ) *+, Sum_Cost >= 5000SirName = Beltov Continue = True) Continue *, + If Antal

Læs mere

6. december. Motivation. Internettet: Login til DIKU (med password) Handel med dankort Fortrolig besked Digital signatur

6. december. Motivation. Internettet: Login til DIKU (med password) Handel med dankort Fortrolig besked Digital signatur 6. december Talteoretiske algoritmer, RSA kryptosystemet, Primtalstest Motivation Definitioner Euclids algoritme Udvidet Euclid RSA kryptosystemet Randominserede algoritmer Rabin-Miller primtalstest Svært

Læs mere

VLSI Design I. Design for Test. Overview design for test architectures ad-hoc, scan based, built-in

VLSI Design I. Design for Test. Overview design for test architectures ad-hoc, scan based, built-in VLSI esign I esign for Test He s dead Jim... Overview design for test architectures ad-hoc, scan based, built-in in Goal: You are familiar with testability metrics and you know ad-hoc test structures as

Læs mere

const int disponibel_10= 10; const int disponibel_11= 11; const int disponibel_12= 12; const int blinkled = 13; // pin 13 er LED på NANO

const int disponibel_10= 10; const int disponibel_11= 11; const int disponibel_12= 12; const int blinkled = 13; // pin 13 er LED på NANO Styring_af_analog_repeater_OZ1LN_07-04-2018_v_1_07 device Arduino NANO fra v 1.06_j kræves Starttid bærebølge for valid pilottone ved start fra v 1.06_j kræves ResetTid uden bærebølge ved genstart efter

Læs mere

LX5280. High-Performance RISC-DSP for IP Licensing

LX5280. High-Performance RISC-DSP for IP Licensing High-Performance RISC-DSP for IP Licensing Bob Gelinas, Charlie Hauck, Pat Hays, Franklin Hooker, Kevin Joyce, Sol Katzman, Charley Lind, Elliot Mednick, Tat Ng, Jonah Probell, Sam Rosen, Bill Rubin Lexra,

Læs mere

Eksamen i Signalbehandling og matematik

Eksamen i Signalbehandling og matematik Opgave. (%).a. Figur og afbilleder et diskret tid signal [n ] og dets DTFT. [n] bruges som input til et LTI filter med en frekvens amplitude respons som vist på figur. Hvilket af de 4 output signaler (y

Læs mere

University of Copenhagen Faculty of Science Written Exam - 3. April Algebra 3

University of Copenhagen Faculty of Science Written Exam - 3. April Algebra 3 University of Copenhagen Faculty of Science Written Exam - 3. April 2009 Algebra 3 This exam contains 5 exercises which are to be solved in 3 hours. The exercises are posed in an English and in a Danish

Læs mere

Emergency call button. Stabilt og simpelt

Emergency call button. Stabilt og simpelt Emergency call button Stabilt og simpelt 1 Agenda Områder af speciel interesse Gennemgang Hvad har jeg lært? Spørgsmål 2 Områder af speciel interesse Domæne, Krav, Use Cases, Kvalitetsattributter Arkitektur

Læs mere

Digitalisering af hårde, våde og levende teknologier

Digitalisering af hårde, våde og levende teknologier Digitalisering af hårde, våde og levende teknologier Jan Madsen, Professor & Vicedirektør DTU Compute IDA Gå-hjem møde, 21 november, 2017 DTU Compute IDA Gå-hjem møde 1 Design Automation hårde våde levende

Læs mere

Sorting on the SRC 6 Reconfigurable Computer

Sorting on the SRC 6 Reconfigurable Computer Sorting on the SRC 6 Reconfigurable Computer John arkins, Tarek El-Ghazawi, Esam El-Araby, Miaoqing uang The George Washington University Washington, DC J. arkins 1 of 51 MAPD2005/C178 Algorithms Quick

Læs mere

Tilfældige tal. Denne artikel introducerer generering af tilfældige tal og viser lidt om hvad man kan og ikke mindst hvad man ikke bør bruge.

Tilfældige tal. Denne artikel introducerer generering af tilfældige tal og viser lidt om hvad man kan og ikke mindst hvad man ikke bør bruge. Denne guide er oprindeligt udgivet på Eksperten.dk Tilfældige tal Denne artikel introducerer generering af tilfældige tal og viser lidt om hvad man kan og ikke mindst hvad man ikke bør bruge. Den forudsætter

Læs mere

Listen over reserverede ord er meget lang, men de væsentligste vil jeg beskrive her i denne artikel:

Listen over reserverede ord er meget lang, men de væsentligste vil jeg beskrive her i denne artikel: Denne guide er oprindeligt udgivet på Eksperten.dk SQL og ASP En artikel omkring simpel SQL og hvordan disse opbygges, udformes og udføres, sådan at man kan få et brugbart resultat i ASP. Dette ligefra

Læs mere

Andreas Nordbek & Kristoffer Skude Jensen. DMX Styring 31-05-2010. Side 1 af 15

Andreas Nordbek & Kristoffer Skude Jensen. DMX Styring 31-05-2010. Side 1 af 15 Andreas Nordbek & Kristoffer Skude Jensen DMX Styring 31-05-2010 Side 1 af 15 Indholdsfortegnelse 1 Indledning... 3 2 DMX... 4 3 Arkitektur... 5 4 Hardware design... 6 4.1 SPI... 9 5 Software... 10 5.1

Læs mere

Netværksalgoritmer 1

Netværksalgoritmer 1 Netværksalgoritmer 1 Netværksalgoritmer Netværksalgoritmer er algoritmer, der udføres på et netværk af computere Deres udførelse er distribueret Omfatter algoritmer for, hvorledes routere sender pakker

Læs mere

RoE timestamp and presentation time in past

RoE timestamp and presentation time in past RoE timestamp and presentation time in past Jouni Korhonen Broadcom Ltd. 5/26/2016 9 June 2016 IEEE 1904 Access Networks Working Group, Hørsholm, Denmark 1 Background RoE 2:24:6 timestamp was recently

Læs mere

ECE495D ASIC Design Lab

ECE495D ASIC Design Lab ECE495D ASIC Design Lab Learn VHDL for hardware design VHSIC Hardware Description Language Practice RTL design (register transfer level) Understand & use ASIC design flow Be able to briefly describe how

Læs mere

Netværk & elektronik

Netværk & elektronik Netværk & elektronik Oversigt Ethernet og IP teori Montering af Siteplayer modul Siteplayer teori Siteplayer forbindelse HTML Router (port forwarding!) Projekter Lkaa Mercantec 2009 1 Ethernet På Mars

Læs mere

DATALOGI 1E. Skriftlig eksamen torsdag den 3. juni 2004

DATALOGI 1E. Skriftlig eksamen torsdag den 3. juni 2004 Københavns Universitet Naturvidenskabelig Embedseksamen DATALOGI 1E Skriftlig eksamen torsdag den 3. juni 2004 Opgaverne vægtes i forhold til tidsangivelsen herunder, og hver opgaves besvarelse bedømmes

Læs mere

DDD Runde 2, 2015 Facitliste

DDD Runde 2, 2015 Facitliste DDD Runde 2, 2015 Facitliste Søren Dahlgaard og Mathias Bæk Tejs Knudsen Opgaver og løsninger til 2. runde af DDD 2015. 1 4. 19. februar, 2015 linetest DK v1.0 Line Test Sigurd er begyndt i gymnasiet og

Læs mere

' #$*# ' # #) * #& #& ' # #* #, #$--

' #$*# ' # #) * #& #& ' # #* #, #$-- !"! "$% $ %$ " $&' ( ' $) * ' $* +( ' ) * & & ' *, $-- " " $(. $ /% &%$ & $ &$ $ %% &" ( 1. 00 $ 0 1 $"%$ " 2$ 2. ) " 3 $ * 4* 05 0 $ &'(&%%$ '67 7) 2) 7) 2)7 ' " - - 7) 2 0 $$ 0 '$ " $ 08$$ )2! & & 7)

Læs mere

dcomnet-nr. 6 Talrepræsentation Computere og Netværk (dcomnet)

dcomnet-nr. 6 Talrepræsentation Computere og Netværk (dcomnet) dcomnet-nr. 6 Talrepræsentation Computere og Netværk (dcomnet) Efterår 2009 1 Talrepræsentation På maskinkodeniveau (Instruction Set Architecture Level) repræsenteres ordrer og operander ved bitfølger

Læs mere

IBM WebSphere Operational Decision Management

IBM WebSphere Operational Decision Management IBM WebSphere Operational Decision Management 8 0 49., WebSphere Operational Decision Management 8, 0, 0. Copyright IBM Corporation 2008, 2012. ........... 1 :......... 1 Miniloan........ 3 1:........

Læs mere

Clear all. % Time t=[1:500]; n=length(t); %Disturbance Generation sal=2*randn(1,n); p=(sal-mean(sal))/n;

Clear all. % Time t=[1:500]; n=length(t); %Disturbance Generation sal=2*randn(1,n); p=(sal-mean(sal))/n; MATLAB Code of Fuzzy Logic Controller: % Two processes controlled by a FUZZY LOGIC CONTROLLER % Linear system ( First Order instable Model) and nonlinear system % member ship function (e,er,du) (7x7x7)

Læs mere

Programmering og Problemløsning, 2017

Programmering og Problemløsning, 2017 Programmering og Problemløsning, 2017 Parsing med Højere-Ordens Funktioner Martin Elsman Datalogisk Institut Københavns Universitet DIKU 1. December, 2017 Martin Elsman (DIKU) Programmering og Problemløsning,

Læs mere

Niveauer af abstrakte maskiner

Niveauer af abstrakte maskiner Mikroarkitektur Niveauer af abstrakte maskiner Spørgsmål... Hvordan realiseres IJVM maskinen (lev. 2), eller hvordan ser en IJVM-CPU ud? Opbygning (mikroarkitekturen Mic-1) Anvendelse (mikroprogrammet

Læs mere

Algoritmedesign med internetanvendelser ved Keld Helsgaun

Algoritmedesign med internetanvendelser ved Keld Helsgaun Algoritmedesign med internetanvendelser ved Keld Helsgaun 1 Analyse af algoritmer Input Algoritme Output En algoritme er en trinvis metode til løsning af et problem i endelig tid 2 Algoritmebegrebet D.

Læs mere

Example sensors. Accelorometer. Simple kontakter. Lysfølsomme. modstande. RFID reader & tags. Temperaturfølsomme. Flex Sensor.

Example sensors. Accelorometer. Simple kontakter. Lysfølsomme. modstande. RFID reader & tags. Temperaturfølsomme. Flex Sensor. Simple kontakter Accelorometer Example sensors Lysfølsomme modstande RFID reader & tags Temperaturfølsomme modstande Flex Sensor Ultralyds afstandsmåler Piezo Pressure/vibration Piezo Sound/buzzer Peltier

Læs mere

LINK-56K. Emulator for Motorola s DSPs. A Product of Domain Technologies, Inc.

LINK-56K. Emulator for Motorola s DSPs. A Product of Domain Technologies, Inc. LINK-56K Emulator for Motorola s DSPs A Product of Domain Technologies, Inc. LINK-56K User s Guide, Version1.05 September, 2003 DSPs supported by this product: Motorola DSP56001 Motorola DSP56002 and derivatives

Læs mere

uprocessorens hardware

uprocessorens hardware uprocessorens hardware 8080 Architecture Kernen i en processor er ALUen. Det er den som kan udfører simple regne operationer. De tal den arbejdermed gemmes i en række registre. Når et tal skal hentes eller

Læs mere

Wander TDEV Measurements for Inexpensive Oscillator

Wander TDEV Measurements for Inexpensive Oscillator Wander TDEV Measurements for Inexpensive Oscillator Lee Cosart Symmetricom Lcosart@symmetricom.com Geoffrey M. Garner SAMSUNG Electronics (Consultant) gmgarner@comcast.net IEEE 802.1 AVB TG 2009.11.02

Læs mere

Opskriv følgende funktioner efter stigende orden med hensyn til O-notationen (bemærk at log n betegner totals logaritmen): n 2 (log n) 2 2.

Opskriv følgende funktioner efter stigende orden med hensyn til O-notationen (bemærk at log n betegner totals logaritmen): n 2 (log n) 2 2. Eksamen august Algoritmer og Datastrukturer (-ordning) Side af sider Opgave (%) n + n er O(n )? n / er O(n / )? n er O(n log n)? n er O((log n) )? n er Ω(n )? Ja Nej Opgave (%) Opskriv følgende funktioner

Læs mere

P (n): rekursiv beregning af f n kræver f n beregninger af f 1. P (n) er sand for alle n 2.

P (n): rekursiv beregning af f n kræver f n beregninger af f 1. P (n) er sand for alle n 2. P (n): rekursiv beregning af f n kræver f n beregninger af f 1. P (n) er sand for alle n 2. Bevis ved stærk induktion. Basisskridt: P (2) er sand og P (3) er sand. Induktionsskridt: Lad k 2 og antag P

Læs mere

Opera Ins. Model: MI5722 Product Name: Pure Sine Wave Inverter 1000W 12VDC/230 30A Solar Regulator

Opera Ins. Model: MI5722 Product Name: Pure Sine Wave Inverter 1000W 12VDC/230 30A Solar Regulator Opera Ins Model: MI5722 Product Name: Pure Sine Wave Inverter 1000W 12VDC/230 30A Solar Regulator I.Precautions 1. Keep the product away from children to avoid children playing it as a toy and resultinginpersonalinjury.

Læs mere

IBM WebSphere Operational Decision Management

IBM WebSphere Operational Decision Management IBM WebSphere Operational Decision Management 8 0 29., WebSphere Operational Decision Management 8, 0, 0. Copyright IBM Corporation 2008, 2012. ........... 1 :......... 1 1: Decision Center....... 3 1

Læs mere

INGENIØRHØJSKOLEN I ÅRHUS Elektro- og IKT-afdelingen. I3PRG3 + I3DTM3 + I3ISY1-3. semester

INGENIØRHØJSKOLEN I ÅRHUS Elektro- og IKT-afdelingen. I3PRG3 + I3DTM3 + I3ISY1-3. semester INGENIØRHØJSKOLEN I ÅRHUS Elektro- og IKT-afdelingen Side 1 af 7 Eksamenstermin: DECEMBER 2003 / JANUAR 2004 Varighed: 4 timer - fra kl. 9.00 til kl. 13.00 Ingeniørhøjskolen udleverer: 3 omslag samt papir

Læs mere

WIO200A Water in oil sensor

WIO200A Water in oil sensor WIO200A Water in oil sensor Datasheet 111688-900 Rev. 1.03 Dato: 2012-06-01 03-01-0501-CRJ-04 Side 1 af 13 Technical Sensor Data Order Order number A01-110-0101-01 Output Analogue output 4 20 ma (galvanic

Læs mere

User Guide AK-SM 720 Boolean logic

User Guide AK-SM 720 Boolean logic User Guide AK-SM 720 Boolean logic ADAP-KOOL Refrigeration control systems Anvendelse Funktionen er indeholdt i Systemmanager type AK-SM 720, og kan anvendes til brugerdefinerede funktioner. Funktionerne

Læs mere

" #" $ " "!% &'% ' ( ) * " & #

 # $  !% &'% ' ( ) *  & # ! # $!% &'% '! #$ #$ ( * & #!! #$%& + &,Dim! - Sub Test( Dim Svar As String Svar = InputBox( Indtast dit Navn MsgBox Dit navn er & Svar Svar & * Sub Test2( MsgBox Goddaw & Svar #Test2( Svar& Test(Test2(Svar

Læs mere

Strings and Sets: set complement, union, intersection, etc. set concatenation AB, power of set A n, A, A +

Strings and Sets: set complement, union, intersection, etc. set concatenation AB, power of set A n, A, A + Strings and Sets: A string over Σ is any nite-length sequence of elements of Σ The set of all strings over alphabet Σ is denoted as Σ Operators over set: set complement, union, intersection, etc. set concatenation

Læs mere

Sommeren 2001, opgave 1

Sommeren 2001, opgave 1 Sommeren 2001, opgave 1 Vi antager at k 3, da det ellers er uklart hvordan trekanterne kan sættes sammen i en kreds. Vi ser nu at for hver trekant er der en knude i kredsen, og en spids. Derfor er n =

Læs mere

Start på Arduino og programmering

Start på Arduino og programmering Programmering for begyndere Brug af Arduino Start på Arduino og programmering EDR Hillerød Knud Krogsgaard Jensen / OZ1QK 1 Start på Arduino og programmering Sidste gang (Introduktion) Programmeringssproget

Læs mere

Systemkald DM14. 1. Obligatoriske opgave. Antal sider: 7 inkl. 2 bilag Afleveret: d. 18/3-2004 Afleveret af: Jacob Christiansen, 130282-2111

Systemkald DM14. 1. Obligatoriske opgave. Antal sider: 7 inkl. 2 bilag Afleveret: d. 18/3-2004 Afleveret af: Jacob Christiansen, 130282-2111 DM14 1. Obligatoriske opgave Systemkald Antal sider: 7 inkl. 2 bilag Afleveret: d. 18/3-2004 Afleveret af: Jacob Christiansen, 130282-2111 Side 1 af 5 Intro: Formålet med opgaven at et lave en system kald

Læs mere

Automatisering af dataarbejde 2.2

Automatisering af dataarbejde 2.2 - Ofte kan man ikke bruge data direkte som det ligger i input, fx statistikbank tabeller det skal transformeres - Transformation af data er fx nødvendigt hvis data - Er disaggregeret - Har manglende observationer

Læs mere

SkyVision Comfort Installation manual _0517, Comfort, Item no Version 05/17

SkyVision Comfort Installation manual _0517, Comfort, Item no Version 05/17 SkyVision Comfort Installation manual 5.6.02_0517, Comfort, Item no. 2022 Version 05/17 Installation Manual VITRAL SkyVision Comfort Trækarme skal altid opbevares tørt/ Wooden upstands must always be kept

Læs mere

22 Hobe. Noter. PS1 -- Hobe. Binære hobe. Minimum-hob og maximum-hob. Den abstrakte datatype minimum-hob. Opbygning af hobe. Operationen siv-ned.

22 Hobe. Noter. PS1 -- Hobe. Binære hobe. Minimum-hob og maximum-hob. Den abstrakte datatype minimum-hob. Opbygning af hobe. Operationen siv-ned. 22 Hobe. Binære hobe. Minimum-hob og maximum-hob. Den abstrakte datatype minimum-hob. Opbygning af hobe. Operationen siv-ned. Indsættelse i hobe. Sletning af minimalt element i hobe. Repræsentation. 327

Læs mere

NoteSync vejledning. Leba Innovation A/S

NoteSync vejledning. Leba Innovation A/S NoteSync vejledning Leba Innovation A/S Indholdsfortegnelse NoteSync... 3 USB Interface... 3 Opladning og sync af mere end 16 enheder... 3 Ventilation... 4 Forbinde enheden til strøm... 4 Skifte sikring...

Læs mere

The Design Diaries Project 3 2. Semester. Blog om designprincipper

The Design Diaries Project 3 2. Semester. Blog om designprincipper The Design Diaries Project 3 2. Semester Blog om designprincipper By Lif Neergaard www.lifmediadesign.dk cph-ln175@cphbusiness.dk Mathias Larsen www.nefjam.dk cph-ml414@cphbusiness.dk Ida Christensen www.idamedia.dk

Læs mere

Using SAS Macros to Simplify Preparation of SDTM Data, Annotated CRFs and Define.xml. PhUse 2009, Basel. Niels Both Principal Consultant S-Cubed

Using SAS Macros to Simplify Preparation of SDTM Data, Annotated CRFs and Define.xml. PhUse 2009, Basel. Niels Both Principal Consultant S-Cubed Using SAS Macros to Simplify Preparation of SDTM Data, Annotated CRFs and Define.xml PhUse 2009, Basel Niels Both Principal Consultant S-Cubed Presentation - Overview ectd SDTM Generation Overall Architecture

Læs mere

Hent filoplysninger fra billeder og filer

Hent filoplysninger fra billeder og filer Hent filoplysninger fra billeder og filer I denne vejledning bliver det gennemgået, hvordan man via Power Forespørgsel kan hente filoplysninger fra en mappe ind i Excel. Der skal opbygges følgende elementer:

Læs mere

Temperaturmåler. Klaus Jørgensen. Itet. 1a. Klaus Jørgensen & Ole Rud. Odense Tekniskskole. Allegade 79 Odense C 5000 28/10 2002.

Temperaturmåler. Klaus Jørgensen. Itet. 1a. Klaus Jørgensen & Ole Rud. Odense Tekniskskole. Allegade 79 Odense C 5000 28/10 2002. Temperaturmåler Klaus Jørgensen Klaus Jørgensen & Ole Rud Odense Tekniskskole Allegade 79 Odense C 5000 28/10 2002 Vejleder: PSS Forord.: Denne rapport omhandler et forsøg hvor der skal opbygges et apparat,

Læs mere

DET KONGELIGE BIBLIOTEK NATIONALBIBLIOTEK OG KØBENHAVNS UNIVERSITETS- BIBLIOTEK. Index

DET KONGELIGE BIBLIOTEK NATIONALBIBLIOTEK OG KØBENHAVNS UNIVERSITETS- BIBLIOTEK. Index DET KONGELIGE Index Download driver... 2 Find the Windows 7 version.... 2 Download the Windows Vista driver.... 4 Extract driver... 5 Windows Vista installation of a printer.... 7 Side 1 af 12 DET KONGELIGE

Læs mere

Kurver og flader Aktivitet 15 Geodætiske kurver, Isometri, Mainardi-Codazzi, Teorema Egregium

Kurver og flader Aktivitet 15 Geodætiske kurver, Isometri, Mainardi-Codazzi, Teorema Egregium Kurver og flader Aktivitet 15 Geodætiske kurver, Isometri, Mainardi-Codazzi, Teorema Egregium Lisbeth Fajstrup Institut for Matematiske Fag Aalborg Universitet Kurver og Flader 2013 Lisbeth Fajstrup (AAU)

Læs mere

Kompendium. Flip Flops og Tællere

Kompendium. Flip Flops og Tællere 9/9-05 Kompendium Flip Flops og Tællere Rettelser og tilføjelser modtages gerne / Valle Flip Flop s Ucc SW Set R k 0 Reset SW U OR R k Uout En Flip Flop er et kredsløb, der kan være sat enten i den ene

Læs mere

Kursusgang 2: Symmetrisk kryptering (II). 3DES og Rijndael. Kursusgang 2: Symmetrisk kryptering (II). 3DES og Rijndael

Kursusgang 2: Symmetrisk kryptering (II). 3DES og Rijndael. Kursusgang 2: Symmetrisk kryptering (II). 3DES og Rijndael Kursusgang 2: Kursusgang 2: Hvorfor er Rijndael valgt som afløser for DES og 3DES? Hvad er de grundlæggende krav til krypteringsalgoritmer? Sammenfatning af DES DES' vigtigste sikkerhedsmæssige egenskaber

Læs mere

Kursusgang 3 Matrixalgebra Repetition

Kursusgang 3 Matrixalgebra Repetition Kursusgang 3 Repetition - froberg@mathaaudk http://peoplemathaaudk/ froberg/oecon3 Institut for Matematiske Fag Aalborg Universitet 12 september 2008 1/12 Lineære ligningssystemer Et lineært ligningssystem

Læs mere

VARIO D1. Samlet pris kr. XXXX,-

VARIO D1. Samlet pris kr. XXXX,- Forside INDHOLD! NYHED! 1 sæt vario d1 6 modul monteret med 6 stk. fotoprint, format 70 x 100 cm. 3 stk. halogenspot, 200W 2 stk. brochureholder VARIO D1 5 sk. Vario d2 rammer, format 70 x 100 cm. Monteret

Læs mere

Under 'Microsoft Block Editor', klik 'New project' for at åbne block editor-værktøjet.

Under 'Microsoft Block Editor', klik 'New project' for at åbne block editor-værktøjet. 8 3. Nedtælling Nu skal du lave en nedtæller. Det er en god måde at lære variabler på og hvordan du skal kode micro:bit til at gøre dét, du vil have, at den skal vise. Du skal bruge: 1 x BBC micro:bit

Læs mere

Martin Olsen. DM507 Projekt Del I. 19. marts 2012 FOTO: Colourbox

Martin Olsen. DM507 Projekt Del I. 19. marts 2012 FOTO: Colourbox Martin Olsen DM0 Projekt 0 Del I. marts 0 FOTO: Colourbox Indhold Indledning... Opgave... Opgave... Opgave... Opgave... Opgave... Opgave... Opgave... Kildekode til SimpleInv.java... Kildekode til MergeSort.java...

Læs mere

Kursusgang 3 Matrixalgebra Repetition

Kursusgang 3 Matrixalgebra Repetition Kursusgang 3 Repetition - froberg@math.aau.dk http://people.math.aau.dk/ froberg/oecon3 Institut for Matematiske Fag Aalborg Universitet 16. september 2008 1/19 Betingelser for nonsingularitet af en Matrix

Læs mere

StarWars-videointro. Start din video på den nørdede måde! Version: August 2012

StarWars-videointro. Start din video på den nørdede måde! Version: August 2012 StarWars-videointro Start din video på den nørdede måde! Version: August 2012 Indholdsfortegnelse StarWars-effekt til videointro!...4 Hent programmet...4 Indtast din tekst...5 Export til film...6 Avanceret

Læs mere

Help / Hjælp

Help / Hjælp Home page Lisa & Petur www.lisapetur.dk Help / Hjælp Help / Hjælp General The purpose of our Homepage is to allow external access to pictures and videos taken/made by the Gunnarsson family. The Association

Læs mere

STAR-DUST: Hierarchical Test of Embedded Processors by. program generator RESTART, test pattern generator DUST, fault simulator FAUST and

STAR-DUST: Hierarchical Test of Embedded Processors by. program generator RESTART, test pattern generator DUST, fault simulator FAUST and STAR-DUST: Hierarchical Test of Embedded Processors by Self-Test Programs Ulrich Bieker 1, Martin Kaibel 2,Peter Marwedel 1,Walter Geisselhardt 3 1 Dept. of Computer Science XII, University of Dortmund,

Læs mere

Appendix 2.e contains a copy of the carrier to the Special Health Insurance Card.

Appendix 2.e contains a copy of the carrier to the Special Health Insurance Card. Guidelines for tenderer Appendix 2.e contains a copy of the carrier to the Special Health Insurance Card. The tenderer is not supposed to fill in or complete this appendix. The appendix, in its entirety,

Læs mere

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication

Basic Design Flow. Logic Design Logic synthesis Logic optimization Technology mapping Physical design. Floorplanning Placement Fabrication Basic Design Flow System design System/Architectural Design Instruction set for processor Hardware/software partition Memory, cache Logic design Logic Design Logic synthesis Logic optimization Technology

Læs mere

Excel som database i ASP via ADO

Excel som database i ASP via ADO Denne guide er oprindeligt udgivet på Eksperten.dk Excel som database i ASP via ADO Viser hvordan excel kan bruges som database i ASP. Artiklen viser hvordan man henter, indsætter, opdater og sletter fra

Læs mere

DANSK INSTALLATIONSVEJLEDNING VLMT500 ADVARSEL!

DANSK INSTALLATIONSVEJLEDNING VLMT500 ADVARSEL! DANSK INSTALLATIONSVEJLEDNING VLMT500 Udpakningsinstruktioner Åben indpakningen forsigtigt og læg indholdet på et stykke pap eller en anden beskyttende overflade for at undgå beskadigelse. Kontroller at

Læs mere

Sikkerhed. Rasmus Elmholt V1.0

Sikkerhed. Rasmus Elmholt V1.0 Sikkerhed Rasmus Elmholt V1.0 Terminal Sikkerhed Ønsker man ikke adgang via Consol set system ports console disable Skal root ikke kunne logge ind via Consol set system ports console insecure Auto logout

Læs mere

Business Rules Fejlbesked Kommentar

Business Rules Fejlbesked Kommentar Fejlbeskeder i SMDB Validate Business Request- ValidateRequestRegi stration ( :1) Business Fejlbesked Kommentar the municipality must have no more than one Kontaktforløb at a time Fejl 1: Anmodning En

Læs mere

Jeg har i forbindelse med it og programmering designet og udviklet et it-produkt, som kan beregne rødder i en anden gradsligning.

Jeg har i forbindelse med it og programmering designet og udviklet et it-produkt, som kan beregne rødder i en anden gradsligning. Indhold Beregn rødder... 2 Beskrivelse af kærneproblemet... 2 Plan for brugerfladen for programmet... 3 Operationer på inddata... 4 Output - Beskrivelse af uddata... 4 Flowchart - programmets logiske opbygning/struktur...

Læs mere

Sortering. Sortering ved fletning (merge-sort) Del-og-hersk. Merge-sort

Sortering. Sortering ved fletning (merge-sort) Del-og-hersk. Merge-sort Sortering Sortering ved fletning (merge-sort) 7 2 9 4! 2 4 7 9 7 2! 2 7 9 4! 4 9 7! 7 2! 2 9! 9 4! 4 1 2 Del-og-hersk Merge-sort Del-og-hersk er et generelt paradigme til algoritmedesign Del: opdel input-data

Læs mere

Matematikken i kunstig intelligens: Socialt intelligente robotter

Matematikken i kunstig intelligens: Socialt intelligente robotter Matematikken i kunstig intelligens: Socialt intelligente robotter Thomas Bolander, DTU Compute, Danmarks Tekniske Universitet 2. juni 2018 Thomas Bolander, Matematikken i AI, DTU Compute, 26. april 2018

Læs mere

GSM SMS Modem MODEL: SA RTU-1 V1.01

GSM SMS Modem MODEL: SA RTU-1 V1.01 GSM SMS Modem MODEL: SA RTU1 V1.01 Brugervejledning Indgange: Der er fire indgange på modulet. De kan programmeres som normale indgange. De kan programmeres som tæller. Udgange: Der er en udgang på modulet

Læs mere

Fejlbeskeder i Stofmisbrugsdatabasen (SMDB)

Fejlbeskeder i Stofmisbrugsdatabasen (SMDB) Fejlbeskeder i Stofmisbrugsdatabasen (SMDB) Oversigt over fejlbeskeder (efter fejlnummer) ved indberetning til SMDB via webløsning og via webservices (hvor der dog kan være yderligere typer fejlbeskeder).

Læs mere

Rumfart. Introduktion. Scratch. Nu skal du lære hvordan du programmerer din egen animation! Arbejdsliste. Test dit Projekt.

Rumfart. Introduktion. Scratch. Nu skal du lære hvordan du programmerer din egen animation! Arbejdsliste. Test dit Projekt. Scratch 1 Rumfart All Code Clubs must be registered. Registered clubs appear on the map at codeclubworld.org - if your club is not on the map then visit jumpto.cc/ccwreg to register your club. Introduktion

Læs mere

Reeksamen i Diskret Matematik

Reeksamen i Diskret Matematik Reeksamen i Diskret Matematik Første studieår ved Det Teknisk-Naturvidenskabelige Fakultet 23. august, 2016, 9.00-13.00 Dette eksamenssæt består af 11 nummerede sider med 16 opgaver. Alle opgaver er multiple

Læs mere

Guidelines to tenderer. Appendix 2.m contains copies of letters to 15 year olds, one for each region.

Guidelines to tenderer. Appendix 2.m contains copies of letters to 15 year olds, one for each region. Guidelines to tenderer Appendix 2.m contains copies of letters to 15 year olds, one for each region. The tender is not supposed to fill in or complete this appendix. The aapendix, in its entirely, is a

Læs mere

Sådan forbindes Arduinoen via et fumlebræt til ATTiny45 chippen.

Sådan forbindes Arduinoen via et fumlebræt til ATTiny45 chippen. Arduino software: https://www.arduino.cc/en/main/software Klaus modeltog, det levende hus: http://www.moppe.dk/levhusel.html TV simulation: http://www.herberts-n-projekt.de/basteleien-1/belebtes-haus/

Læs mere

Brug sømbrættet til at lave sjove figurer. Lav fx: Få de andre til at gætte, hvad du har lavet. Use the nail board to make funny shapes.

Brug sømbrættet til at lave sjove figurer. Lav fx: Få de andre til at gætte, hvad du har lavet. Use the nail board to make funny shapes. Brug sømbrættet til at lave sjove figurer. Lav f: Et dannebrogsflag Et hus med tag, vinduer og dør En fugl En bil En blomst Få de andre til at gætte, hvad du har lavet. Use the nail board to make funn

Læs mere