program fibomain(input,output); var i, j,result : integer; var x, y: integer;

Størrelse: px
Starte visningen fra side:

Download "program fibomain(input,output); var i, j,result : integer; var x, y: integer;"

Transkript

1 program fibomain(input,output); var i, j,result : integer; procedure fib(n : integer); var x, y: integer; begin if (n=0) or (n=1) then result := 1 else begin fib(n-1); x:= result; fib(n-2); y:= result; result:= x+y end end; begin writeln('fibnach number. Input an integer?'); readln(i); fib(i); writeln(result) end CASL BEGIN BEGIN LAD GR6, 0 ; reset write buffer LAD GR7, LIBBUF ; set output buffer address LAD GR5, 0 ; set FP(GR5) null JUMP LLMAIN ; go to the main body ; beginning of subprogram LLfib NOP ; entry of subprogram LAD GR8,-2,GR8 ; allocate the space of local variables on stack LD GR1,1,GR5 ; get local variable PUSH 0,GR1 ; Save GR1 for exp comparison LAD GR1,0 ; set integer constant POP GR2 ; Restore the 1st operant to GR1 for comparison CPA GR2,GR1 LAD GR1,1 ; Initilize GR1 with True JZE LB1 ; jump EQUAL XOR GR1,GR1 ; set GR1 to False (zero) LB1 comparison CPA NOP ; End of Exp comparison PUSH 0,GR1 ; start or LD GR1,1,GR5 ; get local variable PUSH 0,GR1 ; Save GR1 for exp comparison LAD GR1,1 ; set integer constant POP GR2 ; Restore the 1st operant to GR1 for GR2,GR1

2 LAD GR1,1 ; Initilize GR1 with True JZE LB2 ; jump EQUAL XOR GR1,GR1 ; set GR1 to False (zero) LB2 NOP ; End of Exp comparison OR GR1,GR2 ; End of or AND GR1,GR1 ; check if's condition JZE LB3 ; jump if not true LAD GR1,1 ; set integer constant ST GR1,ZZresult ; complete assignment JUMP LB4 ; exit of if statement LB3 NOP ; LD GR1,1,GR5 ; get local variable PUSH 0,GR1 ; start - LAD GR1,1 ; set integer constant SUBA GR1,GR2 ; End of - PUSH 0,GR1 ; set actual parameter to stack top PUSH 0,GR5 ; save FP (GR5) to stack LD GR5,GR8 ; set new FP (GR5) CALL LLfib POP GR5 ; restore FP(GR5) LAD GR8,1,GR8 ; discard parameters LD GR1,ZZresult ; load global variable ST GR1,-2,GR5 ; assign to local variable LD GR1,1,GR5 ; get local variable PUSH 0,GR1 ; start - LAD GR1,2 ; set integer constant SUBA GR1,GR2 ; End of - PUSH 0,GR1 ; set actual parameter to stack top PUSH 0,GR5 ; save FP (GR5) to stack LD GR5,GR8 ; set new FP (GR5) CALL LLfib POP GR5 ; restore FP(GR5) LAD GR8,1,GR8 ; discard parameters LD GR1,ZZresult ; load global variable ST GR1,-3,GR5 ; assign to local variable LD GR1,-2,GR5 ; get local variable PUSH 0,GR1 ; start + LD GR1,-3,GR5 ; get local variable ADDA GR1,GR2 ; End of + ST GR1,ZZresult ; complete assignment LB4 NOP ; LAD GR8,2,GR8 ; restore the space of local variables on stack ; end of subprogram

3 LLMAIN NOP ; entry of main body LAD GR1,33 ; load the length of t_str LAD GR2,='Fibnach number. Input an integer?' ; set GR2 the address of t_str CALL WRTSTR CALL WRTLN LAD GR2,ZZi ; set global variable address to GR2 CALL RDINT ; read one integer to (GR2) LD GR1,ZZi ; load global variable PUSH 0,GR1 ; set actual parameter to stack top PUSH 0,GR5 ; save FP (GR5) to stack LD GR5,GR8 ; set new FP (GR5) CALL LLfib POP GR5 ; restore FP(GR5) LAD GR8,1,GR8 ; discard parameters LD GR1,ZZresult ; load global variable CALL WRTINT CALL WRTLN ; start of global variable area ZZi DS 1 ZZj DS 1 ZZresult DS 1 ; end of global variable area LIBBUF DS 256 ; lib.cas ; MULT: ; GR1 * GR2 -> GR2 MULT PUSH 0,GR1 ; GR1 PUSH 0,GR4 ; GR4 LAD GR3,0 ; GR3 LD GR4,GR2 JPL LOOP XOR GR4,=#FFFF ADDA GR4,=1 LOOP SRL GR4,1 JOV ONE JUMP ZERO ONE ADDL GR3,GR1 ZERO SLL GR1,1 AND GR4,GR4 JNZ LOOP CPA GR2,=0 JPL XOR GR3,=#FFFF ADDA GR3,=1 LD GR2,GR3 POP GR4

4 ; DIV ; GR1 / GR2 -> GR2, GR1 DIV PUSH 0,GR3 ST GR1,A ST GR2,B CPA GR1,=0 JPL SKIPA XOR GR1,=#FFFF ADDA GR1,=1 SKIPA CPA GR2,=0 JZE SKIPD JPL SKIPB XOR GR2,=#FFFF ADDA GR2,=1 SKIPB LD GR3,=0 LOOP CPA GR1,GR2 JMI STEP SUBA GR1,GR2 STEP LD GR2,GR3 LD GR3,A CPA GR3,=0 JPL SKIPC XOR GR1,=#FFFF ADDA GR1,=1 SKIPC XOR GR3,B CPA GR3,=0 JZE SKIPD JPL SKIPD XOR GR2,=#FFFF ADDA GR2,=1 SKIPD A DS 1 B DS 1 ; ; GR2 RDINT PUSH 0,GR1 ; GR1 PUSH 0,GR4 ; GR4 PUSH 0,GR5 ; GR5 PUSH 0,GR6 ; GR6

5 LD GR5,GR2 ; GR2 GR5 LD GR2,=0 ; GR2 LD GR3,=0 ; GR3 IN INAREA,INLEN ; ; null CPA GR3,INLEN JZE ERROR ; '-' LD GR4,INAREA,GR3 LD GR6,GR4 ; GR6 CPL GR4,=#002D ; '-' JZE CPL LOOP GR4,='0' ; JMI ERROR CPL GR4,='9' JPL ERROR XOR GR4,=#0030 ; ADDA GR2,GR4 ; 10 LOOP CPA GR3,INLEN JZE CODE ; GR3 LD GR1,=10 CALL MULT ; GR2 10 LD CPL GR4,INAREA,GR3 GR4,='0' ; JMI ERROR CPL GR4,='9' JPL ERROR XOR GR4,=#0030 ; GR4 ADDA GR2,GR4 ; GR2 GR1 ; GR3( ) ; '-' -1 CODE CPL GR6,=#002D JNZ XOR GR2,=#FFFF LAD GR2,1,GR2 JUMP ; ERROR OUT ERRSTR,ERRLEN ST GR2,0,GR5 ; GR2 GR5 LD GR2,GR5 ; GR5 GR2 POP POP POP GR6 GR5 GR4

6 ERRSTR DC 'illegal input' ERRLEN DC 13 INAREA DS 6 INLEN DS 1 ; ; GR2 RDCH IN INCHAR,INLEN LD GR1,INCHAR ST GR1,0,GR2 INCHAR DS 1 INLEN DS 1 ; GR1 ; GR2 RDSTR PUSH 0,GR4 ; GR4 PUSH 0,GR5 ; GR5 LAD GR4,0 ; GR4 IN INSTR,INLEN LOOP CPA GR4,GR1 JZE ; GR1 CPA GR4,INLEN JZE ; LD GR5,GR2 ADDA GR5,GR4 ; LD GR3,INSTR,GR4 ST GR3,0,GR5 LAD GR4,1,GR4 POP GR5 POP GR4 INSTR DS 256 INLEN DS 1 ; RDLN IN INAREA,INLEN INAREA DS 256

7 INLEN DS 1 ; GR2 ; ; GR7 ; GR6 ; WRTINT PUSH 0,GR1 ; GR1 PUSH 0,GR2 ; GR2 PUSH 0,GR2 ; LD GR3,=0 ; GR3 ; CPA GR2,=0 JPL LOOP1 XOR GR2,=#FFFF ADDA GR2,=1 ; LOOP1 LD GR1,GR2 LD GR2,=10 CALL DIV XOR GR1,=#0030 ST GR1,BUFFER,GR3 CPA GR2,=0 JNZ LOOP1 ; '-' POP GR2 CPA GR2,=0 JZE LOOP2 JPL LOOP2 LD GR1,='-' ST GR1,BUFFER,GR3 ; BUFFER LOOP2 LAD GR3,-1,GR3 LD GR1,BUFFER,GR3 LD GR2,GR7 ADDA GR2,GR6 ST GR1,0,GR2 LAD GR6,1,GR6 CPA GR3,=0 JNZ LOOP2 POP GR2 BUFFER DS 6

8 ; GR2 ; ; GR7 ; GR6 ; WRTCH PUSH 0,GR1 ; GR1 LD GR1,GR7 ADDA GR1,GR6 ; GR1 ST GR2,0,GR1 LAD GR6,1,GR6 ; GR2 GR1 ; ; GR7 ; GR6 ; WRTSTR PUSH 0,GR4 ; GR4 PUSH 0,GR5 ; GR5 LAD GR3,0 ; GR3 LOOP CPA GR3,GR1 JZE LD GR4,GR2 ADDA GR4,GR3 ; LD GR5,0,GR4 ; LD GR4,GR7 ADDA GR4,GR6 ; ST GR5,0,GR4 ; LAD GR6,1,GR6 POP GR5 POP GR4 ; ; GR7 GR6 WRTLN PUSH PUSH 0,GR1 0,GR2

9 PUSH 0,GR3 ST GR6,OUTLEN LAD GR1,0 LOOP CPA GR1,OUTLEN JZE LD GR2,GR7 ADDA GR2,GR1 LD GR3,0,GR2 ST GR3,OUTSTR,GR1 LAD GR1,1,GR1 OUT OUTSTR,OUTLEN LAD GR6,0 ; GR6 POP GR2 OUTSTR DS 256 OUTLEN DS 1

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1

Project Step 7. Behavioral modeling of a dual ported register set. 1/8/ L11 Project Step 5 Copyright Joanne DeGroat, ECE, OSU 1 Project Step 7 Behavioral modeling of a dual ported register set. Copyright 2006 - Joanne DeGroat, ECE, OSU 1 The register set Register set specifications 16 dual ported registers each with 16- bit words

Læs mere

uprocessorens hardware

uprocessorens hardware uprocessorens hardware 8080 Architecture Kernen i en processor er ALUen. Det er den som kan udfører simple regne operationer. De tal den arbejdermed gemmes i en række registre. Når et tal skal hentes eller

Læs mere

if (symbol == IDENTIFIER) { object = findprocedureobject(symboltable, identifier);

if (symbol == IDENTIFIER) { object = findprocedureobject(symboltable, identifier); procedureimplementation() { struct item_t* item; struct object_t* object; item = malloc(sizeof(struct item_t)); returntype(item); if (symbol == IDENTIFIER) { object = findprocedureobject(symboltable, identifier);

Læs mere

Oracle PL/SQL. Overview of PL/SQL

Oracle PL/SQL. Overview of PL/SQL Oracle PL/SQL John Ortiz Overview of PL/SQL Oracle's Procedural Language extension to SQL. Support many programming language features. If-then-else, loops, subroutines. Program units written in PL/SQL

Læs mere

Det er muligt at chekce følgende opg. i CodeJudge: og

Det er muligt at chekce følgende opg. i CodeJudge: og Det er muligt at chekce følgende opg. i CodeJudge:.1.7 og.1.14 Exercise 1: Skriv en forløkke, som producerer følgende output: 1 4 9 16 5 36 Bonusopgave: Modificer dit program, så det ikke benytter multiplikation.

Læs mere

Niveauer af abstrakte maskiner

Niveauer af abstrakte maskiner Mikroarkitektur Niveauer af abstrakte maskiner Spørgsmål... Hvordan realiseres IJVM maskinen (lev. 2), eller hvordan ser en IJVM-CPU ud? Opbygning (mikroarkitekturen Mic-1) Anvendelse (mikroprogrammet

Læs mere

"# $%$ " # $ % $ $ " & ( ) *+!,! Sum_Cost >= 5000SirName = Beltov Continue = %!- + ( ( - True) Continue *! If Antal <= 20 Then EnhedsOmk = 1.

# $%$  # $ % $ $  & ( ) *+!,! Sum_Cost >= 5000SirName = Beltov Continue = %!- + ( ( - True) Continue *! If Antal <= 20 Then EnhedsOmk = 1. "# $$ " # $ && & ' $ $ " & ) *+, Sum_Cost >= 5000SirName = Beltov Continue = True) Continue *, + If Antal

Læs mere

! "# $$ &'()*"* +*, & &"*0* & "# % %- %

! # $$ &'()** +*, & &*0* & # % %- % !"! "# $$ & &'()*"* +*, &- & &"./+0 & &"*0* & & & 1 2 ()))))$$" "# - " # $!&!!" 34 3((5(4 6()))))5 3((5# 7())))) 4 3((58 43((58 3((5#9! 3((5#4 3((584 6 9 # '"!&()!" * " 49 9-3 :; & Private Sub Workbook_Open()

Læs mere

Netværksalgoritmer 1

Netværksalgoritmer 1 Netværksalgoritmer 1 Netværksalgoritmer Netværksalgoritmer er algoritmer, der udføres på et netværk af computere Deres udførelse er distribueret Omfatter algoritmer for, hvorledes routere sender pakker

Læs mere

Verilog HDL. Presented by: Amir Masoud Gharehbaghi

Verilog HDL. Presented by: Amir Masoud Gharehbaghi Verilog HDL Presented by: Amir Masoud Gharehbaghi Email: amgh@mehr.sharif.edu Design Hierarchy Design Specification & Requirements Behavioral Design Register Transfer Level (RTL) Design Logic Design Circuit

Læs mere

result = val[0][0], val[1][1], val[0][0], val[2], val[4]))

result = val[0][0], val[1][1], val[0][0], val[2], val[4])) # # intp # class Intp::Parser prechigh nonassoc UMINUS left '*' '/' left '+' '-' nonassoc EQ preclow rule program : stmt_list result = RootNode.new( val[0] ) stmt_list : result = [] stmt_list stmt EOL

Læs mere

Heuristics for Improving

Heuristics for Improving Heuristics for Improving Model Learning Based Testing Muhammad Naeem Irfan VASCO-LIG LIG, Computer Science Lab, Grenoble Universities, 38402 Saint Martin d Hères France Introduction Component Based Software

Læs mere

Chapter. Information Representation

Chapter. Information Representation Chapter 3 Information Representation (a) A seven-bit cell. Figure 3. Figure 3. (Continued) (b) Some possible values in a seven-bit cell. Figure 3. (Continued) 6 8 7 2 5 J A N U A R Y (c) Some impossible

Læs mere

Aarhus Universitet, Science and Technology, Computer Science. Exam. Wednesday 27 June 2018, 9:00-11:00

Aarhus Universitet, Science and Technology, Computer Science. Exam. Wednesday 27 June 2018, 9:00-11:00 Page 1/12 Aarhus Universitet, Science and Technology, Computer Science Exam Wednesday 27 June 2018, 9:00-11:00 Allowed aid: None The exam questions are answered on the problem statement that is handed

Læs mere

16/04/12 50% 50% Waveform Chart Waveform Graph XY Graph Indicator Graph 33% 17% 88% 13%

16/04/12 50% 50% Waveform Chart Waveform Graph XY Graph Indicator Graph 33% 17% 88% 13% Samuel Alberg Thrysøe, PhD, PostDoc, Kontakt info: Email: sat@iha.dk, Tlf: +45 25533552 2 4. 5 Waveform Chart Waveform Graph XY Graph Indicator Graph 4. 33% Waveform Chart Waveform Graph XY Graph Indicator

Læs mere

Systemkald DM14. 1. Obligatoriske opgave. Antal sider: 7 inkl. 2 bilag Afleveret: d. 18/3-2004 Afleveret af: Jacob Christiansen, 130282-2111

Systemkald DM14. 1. Obligatoriske opgave. Antal sider: 7 inkl. 2 bilag Afleveret: d. 18/3-2004 Afleveret af: Jacob Christiansen, 130282-2111 DM14 1. Obligatoriske opgave Systemkald Antal sider: 7 inkl. 2 bilag Afleveret: d. 18/3-2004 Afleveret af: Jacob Christiansen, 130282-2111 Side 1 af 5 Intro: Formålet med opgaven at et lave en system kald

Læs mere

DM13-3. Obligatorisk opgave E.05 Håndoptimering af SPARC assembler-kode

DM13-3. Obligatorisk opgave E.05 Håndoptimering af SPARC assembler-kode - 3. Obligatorisk opgave E.05 Håndoptimering af SPARC assembler-kode Jacob Aae Mikkelsen - 191076 12. december 2005 1 Indhold 1 Opgave beskrivelse 2 2 Muligheder for optimering 2 2.1 efter branch.........................

Læs mere

! #!! $ % $! & " &'"! & *+ "! " $ $ ""!,-! $!.! $! " # 1!! &' "

! #!! $ % $! &  &'! & *+ !  $ $ !,-! $!.! $!  # 1!! &' ""# "" # $ % $ & " &'" & " "()" *+ " " $ $ *+" $ %"&'" "( "",- $. + /"&'"-0 $ " # 1 &' " +"% $ %'('" 2 ' ) )030 )030) * )033 " )033 // " " 1 1 41 ")035)036 5- " " " *+773,8 *+ % " " )035& " )036& " 1 %"

Læs mere

Design by Contract. Design and Programming by Contract. Oversigt. Prædikater

Design by Contract. Design and Programming by Contract. Oversigt. Prædikater Design by Contract Design and Programming by Contract Anne Haxthausen ah@imm.dtu.dk Informatics and Mathematical Modelling Technical University of Denmark Design by Contract er en teknik til at specificere

Læs mere

Forelæsning Uge 4 Mandag

Forelæsning Uge 4 Mandag Forelæsning Uge 4 Mandag Algoritmeskabeloner findone, findall, findnoof, findsumof Primitive typer (forfremmelse og begrænsning) Identitet versus lighed (for objekter, herunder strenge) Opfølgning på Skildpadde

Læs mere

Værktøjer, der kan regne på LAR-elementer

Værktøjer, der kan regne på LAR-elementer RØRCENTERDAGENE 2011 Konferencedel B3 Lokal afledning af regnvand D. 9. juni 2011, kl. 9-11 Værktøjer, der kan regne på LAR-elementer Ph.d. urbanhydrolog Jan Jeppesen Introduktion High amount of transpiration

Læs mere

Vejledning til Sundhedsprocenten og Sundhedstjek

Vejledning til Sundhedsprocenten og Sundhedstjek English version below Vejledning til Sundhedsprocenten og Sundhedstjek Udfyld Sundhedsprocenten Sæt mål og lav en handlingsplan Book tid til Sundhedstjek Log ind på www.falckhealthcare.dk/novo Har du problemer

Læs mere

Boligsøgning / Search for accommodation!

Boligsøgning / Search for accommodation! Boligsøgning / Search for accommodation! For at guide dig frem til den rigtige vejledning, skal du lige svare på et par spørgsmål: To make sure you are using the correct guide for applying you must answer

Læs mere

ECE 551: Digital System Design & Synthesis Lecture Set 5

ECE 551: Digital System Design & Synthesis Lecture Set 5 ECE 551: Digital System Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) (In Separate File) 5.2: Verilog Simulation I/O, Compiler Directives, and 2001 Standard

Læs mere

MIPS, registerallokering og MARS

MIPS, registerallokering og MARS MIPS, registerallokering og MARS Torben Mogensen 2011 Resumé Vi beskriver modulerne Mips.sml og RegAlloc.sml, samt hvordan de bruges sammen med MIPS-emulatoren MARS. 1 MIPS modulet Modulet Mips.sml indeholder

Læs mere

26 Programbeviser I. Noter. PS1 -- Programbeviser I. Bevis kontra 'check af assertions' i Eiffel. Betingelser og bevisregler.

26 Programbeviser I. Noter. PS1 -- Programbeviser I. Bevis kontra 'check af assertions' i Eiffel. Betingelser og bevisregler. 26 Programbeviser I. Bevis kontra 'check af assertions' i Eiffel. Betingelser og bevisregler. Hvad er programverifikation? Bevisregel for 'tom kommando'. Bevisregel for assignment. Bevisregler for selektive

Læs mere

Spil Master Mind. Indledning.

Spil Master Mind. Indledning. side 1 af 16 Indledning. Spillet som denne rapport beskriver, indgår i et større program, der er lavet som projekt i valgfaget programmering C på HTX i perioden 9/11-98 til 12/1-99. Spillet skal give de

Læs mere

LØKKER METODER C S HISTORIE. Grundlæggende programmering Lektion 4

LØKKER METODER C S HISTORIE. Grundlæggende programmering Lektion 4 LØKKER METODER C S HISTORIE Grundlæggende programmering Lektion 4 1 LEKTIER UML struktur diagrammer om biler 2 OPERATORER Syntaks til at udføre forskellige beregninger og handlinger Booleans og hvorfor

Læs mere

Programmering og Problemløsning, 2017

Programmering og Problemløsning, 2017 Programmering og Problemløsning, 2017 Parsing med Højere-Ordens Funktioner Martin Elsman Datalogisk Institut Københavns Universitet DIKU 1. December, 2017 Martin Elsman (DIKU) Programmering og Problemløsning,

Læs mere

" #" $ " "!% &'% ' ( ) * " & #

 # $  !% &'% ' ( ) *  & # ! # $!% &'% '! #$ #$ ( * & #!! #$%& + &,Dim! - Sub Test( Dim Svar As String Svar = InputBox( Indtast dit Navn MsgBox Dit navn er & Svar Svar & * Sub Test2( MsgBox Goddaw & Svar #Test2( Svar& Test(Test2(Svar

Læs mere

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012

Terese B. Thomsen 1.semester Formidling, projektarbejde og webdesign ITU DMD d. 02/11-2012 Server side Programming Wedesign Forelæsning #8 Recap PHP 1. Development Concept Design Coding Testing 2. Social Media Sharing, Images, Videos, Location etc Integrates with your websites 3. Widgets extend

Læs mere

Linear Programming ١ C H A P T E R 2

Linear Programming ١ C H A P T E R 2 Linear Programming ١ C H A P T E R 2 Problem Formulation Problem formulation or modeling is the process of translating a verbal statement of a problem into a mathematical statement. The Guidelines of formulation

Læs mere

ArbejsskadeAnmeldelse

ArbejsskadeAnmeldelse ArbejsskadeAnmeldelse OpretAnmeldelse 001 All Klassifikations: KlassifikationKode is an unknown value in the current Klassifikation 002 All Klassifikations: KlassifikationKode does not correspond to KlassifikationTekst

Læs mere

Design by Contract Bertrand Meyer Design and Programming by Contract. Oversigt. Prædikater

Design by Contract Bertrand Meyer Design and Programming by Contract. Oversigt. Prædikater Design by Contract Bertrand Meyer 1986 Design and Programming by Contract Michael R. Hansen & Anne Haxthausen mrh@imm.dtu.dk Informatics and Mathematical Modelling Technical University of Denmark Design

Læs mere

Under 'Microsoft Block Editor', klik 'New project' for at åbne block editor-værktøjet.

Under 'Microsoft Block Editor', klik 'New project' for at åbne block editor-værktøjet. 8 3. Nedtælling Nu skal du lave en nedtæller. Det er en god måde at lære variabler på og hvordan du skal kode micro:bit til at gøre dét, du vil have, at den skal vise. Du skal bruge: 1 x BBC micro:bit

Læs mere

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US

Generalized Probit Model in Design of Dose Finding Experiments. Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Generalized Probit Model in Design of Dose Finding Experiments Yuehui Wu Valerii V. Fedorov RSU, GlaxoSmithKline, US Outline Motivation Generalized probit model Utility function Locally optimal designs

Læs mere

:51: [INFO ] [.o.core.internal.coreactivator] - openhab runtime has been started (v1.8.1) :51:55.

:51: [INFO ] [.o.core.internal.coreactivator] - openhab runtime has been started (v1.8.1) :51:55. 2016-03-19 08:51:50.436 [INFO ] [.o.core.internal.coreactivator] - openhab runtime has been started (v1.8.1). 2016-03-19 08:51:55.227 [INFO ] [o.o.i.s.i.discoveryserviceimpl] - mdns service has been started

Læs mere

' #$*# ' # #) * #& #& ' # #* #, #$--

' #$*# ' # #) * #& #& ' # #* #, #$-- !"! "$% $ %$ " $&' ( ' $) * ' $* +( ' ) * & & ' *, $-- " " $(. $ /% &%$ & $ &$ $ %% &" ( 1. 00 $ 0 1 $"%$ " 2$ 2. ) " 3 $ * 4* 05 0 $ &'(&%%$ '67 7) 2) 7) 2)7 ' " - - 7) 2 0 $$ 0 '$ " $ 08$$ )2! & & 7)

Læs mere

Engineering of Chemical Register Machines

Engineering of Chemical Register Machines Prague International Workshop on Membrane Computing 2008 R. Fassler, T. Hinze, T. Lenser and P. Dittrich {raf,hinze,thlenser,dittrich}@minet.uni-jena.de 2. June 2008 Outline 1 Motivation Goal Realization

Læs mere

Sproget Rascal (v. 2)

Sproget Rascal (v. 2) Sproget Rascal (v. 2) Til brug i K1 på kurset Oversættere Opdateret 29/11 2004 Abstract Rascal er et simpelt Pascal-lignende imperativt sprog. Dette dokument beskriver uformelt Rascals syntaks og semantik

Læs mere

ECE 551: Digital System * Design & Synthesis Lecture Set 5

ECE 551: Digital System * Design & Synthesis Lecture Set 5 ECE 551: Digital System * Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) 5.2: Verilog Simulation I/O and 2001 Standard (In Separate File) 3/4/2003 1 ECE

Læs mere

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU

PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU PARALLELIZATION OF ATTILA SIMULATOR WITH OPENMP MIGUEL ÁNGEL MARTÍNEZ DEL AMOR MINIPROJECT OF TDT24 NTNU OUTLINE INEFFICIENCY OF ATTILA WAYS TO PARALLELIZE LOW COMPATIBILITY IN THE COMPILATION A SOLUTION

Læs mere

Hent filoplysninger fra billeder og filer

Hent filoplysninger fra billeder og filer Hent filoplysninger fra billeder og filer I denne vejledning bliver det gennemgået, hvordan man via Power Forespørgsel kan hente filoplysninger fra en mappe ind i Excel. Der skal opbygges følgende elementer:

Læs mere

Derfor vil jeg bygge dette eksempel på een table hvor der kan tilkyttes personer til ALLE noder og der kan tilføjes et vilkårligt antal niveauer

Derfor vil jeg bygge dette eksempel på een table hvor der kan tilkyttes personer til ALLE noder og der kan tilføjes et vilkårligt antal niveauer Denne guide er oprindeligt udgivet på Eksperten.dk TreeView i Access II Jeg vil i denne anden udgave af treeview føljetonen begynde på et mere brugbart eksempel med et TreeView. Vi laver en ny database

Læs mere

Enigma. Et programmeringssprog. Forfatter: Niels Serup X. Y Vejleder: A B C. Fag: Programmering Uddannelsessted: N M

Enigma. Et programmeringssprog. Forfatter: Niels Serup X. Y Vejleder: A B C. Fag: Programmering Uddannelsessted: N M Enigma Et programmeringssprog Forfatter: Niels Serup X. Y Vejleder: A B C Fag: Programmering Uddannelsessted: N M 25. maj 2010 Indholdsfortegnelse Indledning 3 Sproget 3 Syntax.............................................

Læs mere

Start på Arduino og programmering

Start på Arduino og programmering Programmering for begyndere Brug af Arduino Start på Arduino og programmering EDR Hillerød Knud Krogsgaard Jensen / OZ1QK 1 Start på Arduino og programmering Sidste gang (Introduktion) Programmeringssproget

Læs mere

Noter til kursusgang 8, IMAT og IMATØ

Noter til kursusgang 8, IMAT og IMATØ Noter til kursusgang 8, IMAT og IMATØ matematik og matematik-økonomi studierne 1. basissemester Esben Høg 25. oktober 2013 Institut for Matematiske Fag Aalborg Universitet Esben Høg Noter til kursusgang

Læs mere

Besvarelser til Lineær Algebra Reeksamen Februar 2017

Besvarelser til Lineær Algebra Reeksamen Februar 2017 Besvarelser til Lineær Algebra Reeksamen - 7. Februar 207 Mikkel Findinge Bemærk, at der kan være sneget sig fejl ind. Kontakt mig endelig, hvis du skulle falde over en sådan. Dette dokument har udelukkende

Læs mere

User Manual for LTC IGNOU

User Manual for LTC IGNOU User Manual for LTC IGNOU 1 LTC (Leave Travel Concession) Navigation: Portal Launch HCM Application Self Service LTC Self Service 1. LTC Advance/Intimation Navigation: Launch HCM Application Self Service

Læs mere

Chapter 3 Bipolar Junction Transistors (BJT)

Chapter 3 Bipolar Junction Transistors (BJT) hapter 3 ipolar Junction Transistors (JT) 1 Transistors 1. ipolar Junction Transistor (JT) 2. Field ffect Transistor (FT) ipolar Junction Transistor (JT) Field ffect Transistor (FT) 2 Transistors 1. -

Læs mere

Opfølgning på Dygtig Skildpadde (Michael) To algoritmeskabeloner

Opfølgning på Dygtig Skildpadde (Michael) To algoritmeskabeloner Forelæsning 4.1 Opfølgning på Dygtig Skildpadde (Michael) To algoritmeskabeloner finden findalle Primitive typer (forfremmelse og begrænsning) Identitet versus lighed (for objekter, herunder strenge) Afleveringsopgave

Læs mere

Lær Python dag 1 - modul 1

Lær Python dag 1 - modul 1 Lær Python dag 1 - modul 1 Introduktion, basis python Steffen Berg Klenow Jonas Bamse Andersen Syddansk Universitet Indhold 1. Velkommen 2. Programmering i python 3. Typer, variabler og udtryk 1 Velkommen

Læs mere

DANSK INSTALLATIONSVEJLEDNING VLMT500 ADVARSEL!

DANSK INSTALLATIONSVEJLEDNING VLMT500 ADVARSEL! DANSK INSTALLATIONSVEJLEDNING VLMT500 Udpakningsinstruktioner Åben indpakningen forsigtigt og læg indholdet på et stykke pap eller en anden beskyttende overflade for at undgå beskadigelse. Kontroller at

Læs mere

Nintex Workflow UK/DK

Nintex Workflow UK/DK Nintex Workflow UK/DK Når Nintex Workflows anvendes i et Dansk sproget SharePoint miljø, er der lidt forskel på hvad de forskellige elementer kaldes, såvel som rækkefølgen på disse. Noget er oversat, noget

Læs mere

Alle dip 1 7 sættes til On for at opnå stand-alone operation fra PC.

Alle dip 1 7 sættes til On for at opnå stand-alone operation fra PC. Hurtig opstart af Infranor CD1 p og pm: Dette er en enkelt og kortfattet vejledning i opsætningen af CD 1 p og pm driver til anvendelse i stand-alone mode. Ingen Profibus forbindelse. For senere opkobling

Læs mere

Central Statistical Agency.

Central Statistical Agency. Central Statistical Agency www.csa.gov.et 1 Outline Introduction Characteristics of Construction Aim of the Survey Methodology Result Conclusion 2 Introduction Meaning of Construction Construction may

Læs mere

University of Southern Denmark Syddansk Universitet. DM502 Forelæsning 4

University of Southern Denmark Syddansk Universitet. DM502 Forelæsning 4 DM502 Forelæsning 4 Flere kontrolstrukturer for-løkke switch-case Metoder Indhold Arrays og sortering af arrays String-funktioner for-løkke Ofte har man brug for at udføre det samme kode, for en sekvens

Læs mere

Software Construction 1 semester (SWC) Spørgsmål 1

Software Construction 1 semester (SWC) Spørgsmål 1 Spørgsmål 1 Objekter #1 Giv en kort præsentation af begrebet objekt, samt hvorledes du erklærer(declare), opretter(create) og bruger objekter Du kan beskrive o Datatyper o Variable / Instans variable /

Læs mere

Freefly B-Række Regler

Freefly B-Række Regler Freefly B-Række Regler Freefly B-Rækken er skabt til at imødekomme både nye og erfarende freeflyere, og præsentere dem for konkurrence elementet. Der konkurreres efter FAI regler, men der forekommer dog

Læs mere

what is this all about? Introduction three-phase diode bridge rectifier input voltages input voltages, waveforms normalization of voltages voltages?

what is this all about? Introduction three-phase diode bridge rectifier input voltages input voltages, waveforms normalization of voltages voltages? what is this all about? v A Introduction three-phase diode bridge rectifier D1 D D D4 D5 D6 i OUT + v OUT v B i 1 i i + + + v 1 v v input voltages input voltages, waveforms v 1 = V m cos ω 0 t v = V m

Læs mere

Skriftlig Eksamen Diskret matematik med anvendelser (DM72)

Skriftlig Eksamen Diskret matematik med anvendelser (DM72) Skriftlig Eksamen Diskret matematik med anvendelser (DM72) Institut for Matematik & Datalogi Syddansk Universitet, Odense Onsdag den 18. januar 2006 Alle sædvanlige hjælpemidler (lærebøger, notater etc.),

Læs mere

Help / Hjælp

Help / Hjælp Home page Lisa & Petur www.lisapetur.dk Help / Hjælp Help / Hjælp General The purpose of our Homepage is to allow external access to pictures and videos taken/made by the Gunnarsson family. The Association

Læs mere

Aktivering af Survey funktionalitet

Aktivering af Survey funktionalitet Surveys i REDCap REDCap gør det muligt at eksponere ét eller flere instrumenter som et survey (spørgeskema) som derefter kan udfyldes direkte af patienten eller forsøgspersonen over internettet. Dette

Læs mere

Internt interrupt - Arduino

Internt interrupt - Arduino Programmering for begyndere Brug af Arduino Internt interrupt - Arduino - Afslutning EDR Hillerød Knud Krogsgaard Jensen / OZ1QK 1 Intern interrupt Jeg vil rydde lidt op. Her er nogle punkter vil har glemt

Læs mere

StarWars-videointro. Start din video på den nørdede måde! Version: August 2012

StarWars-videointro. Start din video på den nørdede måde! Version: August 2012 StarWars-videointro Start din video på den nørdede måde! Version: August 2012 Indholdsfortegnelse StarWars-effekt til videointro!...4 Hent programmet...4 Indtast din tekst...5 Export til film...6 Avanceret

Læs mere

Danish Language Course for International University Students Copenhagen, 12 July 1 August Application form

Danish Language Course for International University Students Copenhagen, 12 July 1 August Application form Danish Language Course for International University Students Copenhagen, 12 July 1 August 2017 Application form Must be completed on the computer in Danish or English All fields are mandatory PERSONLIGE

Læs mere

Forelæsning Uge 4 Mandag

Forelæsning Uge 4 Mandag Forelæsning Uge 4 Mandag Algoritmeskabeloner Kan (ved simple tilretningerne) bruges til at implementere metoder, der gennemsøger en arrayliste (eller anden objektsamling) og finder objekter, der opfylder

Læs mere

DAY HUNTER KIT ASSEMBLY INSTRUCTIONS

DAY HUNTER KIT ASSEMBLY INSTRUCTIONS DAY HUNTER KIT ASSEMBLY INSTRUCTIONS Attach the Shoulder Harness to the Frame 1. Pass the Upper Harness Attachment Straps (Diagram 1.) through the attachment points located inboard on the upper portion

Læs mere

Basic statistics for experimental medical researchers

Basic statistics for experimental medical researchers Basic statistics for experimental medical researchers Sample size calculations September 15th 2016 Christian Pipper Department of public health (IFSV) Faculty of Health and Medicinal Science (SUND) E-mail:

Læs mere

Exceptions i Delphi. Try except

Exceptions i Delphi. Try except Exceptions i Delphi Exceptions er en teknik til at fange fejl under programafviklingen. Ikke programmeringsfejl, men fejl der opstår i forskellige situationer, f.eks. en fil der mangler en fil der er skrivebeskyttet,

Læs mere

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints

Particle-based T-Spline Level Set Evolution for 3D Object Reconstruction with Range and Volume Constraints Particle-based T-Spline Level Set for 3D Object Reconstruction with Range and Volume Constraints Robert Feichtinger (joint work with Huaiping Yang, Bert Jüttler) Institute of Applied Geometry, JKU Linz

Læs mere

Delta Elektronik A/S - AKD

Delta Elektronik A/S - AKD Delta Elektronik A/S - AKD Hardware og type oversigt Grundlæggende oplysninger med forbindelser Opsætning af IP adresser på drev alle muligheder Gennemgang af WorkBench Up/Down load parametre filer Mest

Læs mere

Systemkald i Unix/Linux

Systemkald i Unix/Linux Systemkald i Unix/Linux 1 Operativsystemet Fra proces: OS som værktøjskasse: tilgængelig via systemkald... OS som resurseadministrator, abstrakt/virtuel maskine: CPU: processer, tråde, schedulering I/O:

Læs mere

CodeDOM - Dynamisk generering og kompilering af kode

CodeDOM - Dynamisk generering og kompilering af kode Denne guide er oprindeligt udgivet på Eksperten.dk CodeDOM - Dynamisk generering og kompilering af kode Med CodeDOM kan man på runtime generere kode, kompilere det og derefter afvikle det. I denne artikel

Læs mere

Danish Language Course for Foreign University Students Copenhagen, 13 July 2 August 2016 Advanced, medium and beginner s level.

Danish Language Course for Foreign University Students Copenhagen, 13 July 2 August 2016 Advanced, medium and beginner s level. Danish Language Course for Foreign University Students Copenhagen, 13 July 2 August 2016 Advanced, medium and beginner s level Application form Must be completed on the computer in Danish or English All

Læs mere

Visual Basic for begyndere

Visual Basic for begyndere Denne guide er oprindeligt udgivet på Eksperten.dk Visual Basic for begyndere I denne artikel vil jeg gennemgå Visual Basic (IKKE.NET / Visual Basic 2005 Express Edition) på et begynder-niveau. fed kursiv

Læs mere

Automatisk tombakkelager (bloklager) Automatic empty tray storage (stacking storage) Automatic empty tray storage (block storage)

Automatisk tombakkelager (bloklager) Automatic empty tray storage (stacking storage) Automatic empty tray storage (block storage) STORAGE Automatisk tombakkelager (stabellager) Automatic empty tray storage (stacking storage) Automatisk tombakkelager (bloklager) Automatic empty tray storage (block storage) Fuldautomatisk lagersystem

Læs mere

$ %' ) * %' +,! - Myarray %' /0 /0 122 +3 /0 /0

$ %' ) * %' +,! - Myarray %' /0 /0 122 +3 /0 /0 !"#!"# "# %&') * #" % && %' Dim MyArray2) As Integer ) * %' +,! - Myarray MyArray0). %' /0 /0 122 + /0 /0 +4 / 0) Dim MyArray1 to 2) As Integer 5MyarrayMyArray1)" +6 Option Base 1 Option Explicit %' 76

Læs mere

Affaldsdatasystem Vejledning supplement i system-til-system integration for.net brugere

Affaldsdatasystem Vejledning supplement i system-til-system integration for.net brugere Affaldsdatasystem Vejledning supplement i system-til-system integration for.net brugere Dokument version: 2.0 ADS version: 1.0 Henvendelse vedrørende affald: Miljøstyrelsen Roskilde, Affaldssekretariatet

Læs mere

Forelæsning Uge 4 Mandag

Forelæsning Uge 4 Mandag Forelæsning Uge 4 Mandag Algoritmeskabeloner Kan (ved simple tilretningerne) bruges til at implementere metoder, der gennemsøger en arrayliste (eller anden objektsamling) og finder objekter, der opfylder

Læs mere

10/04/ Front Panel 2. Block Diagram. 1. Front Panel 2. Block Diagram 80% 43% 20%

10/04/ Front Panel 2. Block Diagram. 1. Front Panel 2. Block Diagram 80% 43% 20% Samuel Alberg Thrysøe, PhD, PostDoc, Kontakt info: Email: sat@iha.dk, Tlf: +45 25533552 2 Front Panel Block Diagram 50% 50% 50% 80% 20% 50% Front Panel Block Diagram 43% 29% 5 29% 6 1 100% 0% Loop Tunnel

Læs mere

Peter Kellberg. Rundt om Danmarks Statistiks makroer. Design, Standardisering, Teknik

Peter Kellberg. Rundt om Danmarks Statistiks makroer. Design, Standardisering, Teknik Peter Kellberg Rundt om Danmarks Statistiks makroer Design, Standardisering, Teknik SAS Forum 2009 Ét makrobibliotek ca 50 makroer, vi selv har lavet mange andre fx CLAN Autocall makroer en makro er et

Læs mere

The EAL Jobportal. How to get started

The EAL Jobportal. How to get started The EAL Jobportal How to get started Be prepared Before you start You need to know the ID of your Uni login (WAYF login) You need a browser like Firefox, Safari, Google Chrome (or IE 9 or higher) You need

Læs mere

DDD Runde 2, 2015 Facitliste

DDD Runde 2, 2015 Facitliste DDD Runde 2, 2015 Facitliste Søren Dahlgaard og Mathias Bæk Tejs Knudsen Opgaver og løsninger til 2. runde af DDD 2015. 1 4. 19. februar, 2015 linetest DK v1.0 Line Test Sigurd er begyndt i gymnasiet og

Læs mere

Programmering i C. Lektion oktober 2008

Programmering i C. Lektion oktober 2008 Programmering i C Lektion 2 20 oktober 2008 Historie Processen At kompilere 2 / 23 Fra sidst 1 Historie 2 Processen 3 At kompilere Historie Processen At kompilere 3 / 23 ALGOL 60 1963 CPL 1966 BCPL 1969

Læs mere

Real-time programming safety in Java and Ada

Real-time programming safety in Java and Ada Real-time programming safety in Java and Ada Bo Sandén Presenter: Thomas Bøgholm 25. oktober 2007 Forfatteren Artiklen Synkroniserings Begreber Bo Sandén Professor på Colorado Technical University Beskæftiger

Læs mere

Sortering fra A-Z. Henrik Dorf Chefkonsulent SAS Institute

Sortering fra A-Z. Henrik Dorf Chefkonsulent SAS Institute Sortering fra A-Z Henrik Dorf Chefkonsulent SAS Institute Hvorfor ikke sortering fra A-Å? Det er for svært Hvorfor ikke sortering fra A-Å? Hvorfor ikke sortering fra A-Å? Hvorfor ikke sortering fra A-Å?

Læs mere

Intro til AVR. Mads Pedersen, OZ6HR mads@oz6hr.dk

Intro til AVR. Mads Pedersen, OZ6HR mads@oz6hr.dk Intro til AVR Mads Pedersen, OZ6HR mads@oz6hr.dk Projektidé Brug en AVR-microcontroller Mål temperatur vha. temperatursensor Vis på display Send til computer og vis temperatur Slide 2 Plan 27/10-2005:

Læs mere

Eksamen dcomnet Q2/2012. Studiekortsnummer Navn

Eksamen dcomnet Q2/2012. Studiekortsnummer Navn Eksamen dcomnet Q2/2012 Studiekortsnummer Navn Vejledning Eksamen varer en time fra kl. 9 til kl. 10. Husk at skrive studienummer og navn tydeligt på forsiden, inden eksamen afsluttes. Der er ét rigtigt

Læs mere

Tredjepart webservices

Tredjepart webservices Tredjepart webservices 4. juni 2015 USS Dok. Klik her for at angive tekst. 1/12 Indholdsfortegnelse Introduktion... 3 Miljøer... 3 Adgang... 3 API kald... 4 GET: /authorizations... 4 Input 4 Output 4 Output

Læs mere

Introduktion til programmering. Af mikroprocessor Atmel ATmega328P i en Arduino Uno

Introduktion til programmering. Af mikroprocessor Atmel ATmega328P i en Arduino Uno Introduktion til programmering Af mikroprocessor Atmel ATmega328P i en Arduino Uno Min baggrund: Intel 4004, 4 bit, maskinsprog Intel 8008, 8 bit, maskinsprog bit for bit I sprogene: assembler, Fortran

Læs mere

Stort hold Juli 2016

Stort hold Juli 2016 Dato Workout of the day A. AMRAP 10 10 OH Lunges (20/15kg plate) 10 plate burpees fredag den 1. juli 16 B. AMRAP 10 10 slamballs (30/20) 10 slamball squats C. AMRAP 10 10 wall balls (sort/blå) 10 med ball

Læs mere

Skærmbilled 1: 2 Når man vil oprettet et emne kan man ikke uploade et billed. Skærmbilled 1:

Skærmbilled 1: 2 Når man vil oprettet et emne kan man ikke uploade et billed. Skærmbilled 1: 1 Log ind med da1@forum.dk Pass=1711Dahl Beskeder, gå ind på Bruger=DA3 Andersen Klik på Dokumentarkiv ( 1 ) og downloade PDF så fremkommer følgende fejl: Fatal error: Uncaught exception 'Zend_Controller_Action_Exception'

Læs mere

Programmering for begyndere Lektion 2. Opsamling mm

Programmering for begyndere Lektion 2. Opsamling mm Lektion 2 Opsamling mm God tone Der er indlagt spørge sessioner Lektion 2 - Agenda Programmering for Lidt ændringer til teknikken, herunder hvordan du genser en lektion Lidt generelle tilbagemeldinger

Læs mere

Example types and object implementations.. NEL, Intropar

Example types and object implementations.. NEL, Intropar Example types and object implementations. NEL, Intropar 2001.11.7-1 - Objektmodel Et Emerald objekt består af: et navn, der identificerer objektet en repræsentation nogle operationer (funktioner) måske

Læs mere

WOD kalender november Workout Of the Day

WOD kalender november Workout Of the Day Dato tirsdag den 1. november 16 Workout Of the Day A. Shoulder press 7-7-7-7-7 B. AMRAP 5 10 KB swings 24/16 10 walking lunges 24/16 *Hver gang KB sættes på jorden tages 10 Burpees 5 min pause C. AMRAP

Læs mere

Skriftlig eksamen, Programmer som Data Mandag 9. januar 2012

Skriftlig eksamen, Programmer som Data Mandag 9. januar 2012 Skriftlig eksamen, Programmer som Data Mandag 9. januar 2012 Dette eksamenssæt har 6 sider. Tjek med det samme at du har alle siderne. Eksamens varighed er 4 timer. Der er fire opgaver. For at få fuldt

Læs mere

WIO200A Water in oil sensor

WIO200A Water in oil sensor WIO200A Water in oil sensor Datasheet 111688-900 Rev. 1.03 Dato: 2012-06-01 03-01-0501-CRJ-04 Side 1 af 13 Technical Sensor Data Order Order number A01-110-0101-01 Output Analogue output 4 20 ma (galvanic

Læs mere

Design Wave Magazine 2003 November 151

Design Wave Magazine 2003 November 151 Design Wve Mgzine 2003 Novemer 151 152 Design Wve Mgzine 2003 Novemer GF X Y mxx x x x GF GF GF GF yy GF y y y y y y y y y A B Ax x x x x x x x Bx x x x x x x x Cxc x c x c x c x c x c x c x c x c x c

Læs mere

Online kursus: Programming with ANSI C

Online kursus: Programming with ANSI C Online kursus 365 dage DKK 1.999 Nr. 90198 P ekskl. moms Denne kursuspakke giver dig et bredt kendskab til sproget C, hvis standarder er specificeret af American National Standards Institute (ANSI). Kurserne

Læs mere