Niveauer af abstrakte maskiner

Størrelse: px
Starte visningen fra side:

Download "Niveauer af abstrakte maskiner"

Transkript

1 Det digitale niveau

2 Niveauer af abstrakte maskiner

3 Mikroarkitektur: Mic-1 Digitale kredsløb Logiske tilstande: 0 (0-1 V), 1 (2-5 V)

4 Mikroarkitektur: Mic-1 Kombinatoriske kredsløb Logiske tilstande: 0 (0-1 V), 1 (2-5 V)

5 Mikroarkitektur: Mic-1 Registre Logiske tilstande: 0 (0-1 V), 1 (2-5 V)

6 Mikroarkitektur: Mic-1 Timing Logiske tilstande: 0 (0-1 V), 1 (2-5 V)

7 Transistorer.. i dag Atom-byggestenen i bla Digitale kredsløb Bell Labs 16. december, 1947, William Shockley, John Barden og Walter Brattain. Nobelprisen i

8 Transistor - tilstande "Binær kontakt", hvor input-spænding (Vin) styrer kontakten mellem Output-spænding (Vout) og Reference-spænding (Vref) Bipolær transistor Input = 0V (logisk 0) Input = 5V (logisk 1) Output Output Output Input 0V Input OFF 5V Input ON Reference Reference Reference OFF Tilstande: 0 (0-1 V) 1 (2-5 V) ON V in = 0 => Transistor OFF => V out =?? (Svæver.. næste slides) V in = 1 => Transistor ON => V out = Vref = 0 Det tager få pico-sekunder at skifte tilstand

9 Transistor basis-kredsløb (a,b,c) V in = 0 => Transistor OFF => V out = Vcc = 1 (via modstand) V in = 1 => Transistor ON => V out = Vref = 0 Forsyningsspænding (5V) Output- Spænding???? Modstand Input- Spænding (0V el. 5V) Referencespænding (0V) Tilstande: 0 (0-1 V) 1 (2-5 V)

10 Transistor basis-kredsløb (a) V in = 0 => Transistor OFF => V out = Vcc = 1 V in = 1 => Transistor ON => V out = Vref = 0 V in NOT V out Tilstande: 0 (0-1 V) 1 (2-5 V)

11 Transistor basis-kredsløb (b) V in = 0 => Transistor OFF => V out = Vcc = 1 V in = 1 => Transistor ON => V out = Vref = 0 V in NOT V out NAND V 1 V 2 V out Tilstande: 0 (0-1 V) 1 (2-5 V)

12 Transistor basis-kredsløb (c) V in = 0 => Transistor OFF => V out = Vcc = 1 V in = 1 => Transistor ON => V out = Vref = 0 NOT NAND NOR V in V out V 1 V 2 V out V 1 V 2 V out Tilstande: 0 (0-1 V) 1 (2-5 V)

13 Gates logiske funktioner af basis kredsløb Boolske funktioner givet ved sandhedstabeller... men digitale kredsløb har forsinkelse...

14 Hvor mange boolske funktioner med 2 input og 1 output findes der? 2 4 Gates Hvor mange boolske funktioner med n input og m output findes der? (2 m ) (2n ) Boolske funktioner givet ved sandhedstabeller... men digitale kredsløb har forsinkelse...

15 Hvor mange boolske funktioner med 2 input og 1 output findes der? 2 4 Gates Hvor mange boolske funktioner med n input og m output findes der? (2 m ) (2n ) Boolske funktioner givet ved sandhedstabeller... men digitale kredsløb har forsinkelse...

16 Hvor mange boolske funktioner med 2 input og 1 output findes der? 2 4 Gates Hvor mange boolske funktioner med n input og m output findes der? (2 m ) (2n ) Boolske funktioner givet ved sandhedstabeller... men digitale kredsløb har forsinkelse...

17 AND, OR og NOT kredsløb AND, OR, NOT kan bygges af enten NAND eller NOR gates

18 Eksempel: majoritet Alt kan bygges af AND, OR, NOT (dvs. NAND eller NOR) _ M = ABC + ABC + ABC + ABC

19 Simplere kredsløb (reducere)

20 Boolsk algebra

21 Decoder (f.eks. anvendt i MIC-1 mht B-bus) = 1 når ABC ~ ABC = 000 = 1 når ABC ~ ABC = 001 = 1 når ABC ~ ABC = 010 = 1 når ABC ~ ABC = 011 = 1 når ABC ~ ABC = 100 = 1 når ABC ~ ABC = 101 = 1 når ABC ~ ABC = 110 = 1 når ABC ~ ABC = 111 Vælger et blandt 2 n output udfra n input vælger D i, hvor i = ABC

22 1-bit left/right shifter (f.eks. anvendt i MIC-1 mht shifter) C = 0 : D1 D2 D3 D4 D5 D6 D7 0 C = 1 : 0 D0 D1 D2 D3 D4 D5 D6

23 1-bit full-adder Forsinkelse: tiden der går til output korrekt afspejler input

24 Arithmetic Logic Unit (f.eks. MIC-1) and-or-not 2-4 decoder 1-bit fulladder

25 Arithmetic Logic Unit (f.eks. MIC-1)

26 Integrerede kredsløb Transistorer kan laves og sammensættes i silicium Jack Kilby, Texas Instruments, 1958 Robert Noyce, Fairchild Semiconductors, 1959

27 Core dump (lagre/registre) Fra UNIVAC 1105, 1024 bit og $6000 pr. plade

28 1-bit SR-latch Husker en tilstand Q afhængig af sidste SR input S: Set, R: Reset

29 1-bit SR-latch SET (Q=1) Tid: T0 T1 T2 T3 T4 T5 SET Q=1: (S,R,Q,/Q) = (0,0,X,/X) (1,0,X,/X) (1,0,X,0) (1,0,1,0) (0,0,1,0) (0,0,1,0) Tid:T0->T5 [0,1,1,1,0,0] [/X,/X,0,0,0,0] Husker [0,0,0,0,0,0] [X,X,X,1,1,1] Husker en tilstand Q afhængig af sidste SR input S: Set, R: Reset

30 1-bit SR-latch RESET (Q=0) Tid: T0 T1 T2 T3 T4 T5 RESET Q=0: (S,R,Q,/Q) = (0,0,X,/X) (0,1,X,/X) (0,1,0,/X) (0,1,0,1) (0,0,0,1) (0,0,0,1) Tid: T0->T5 [0,0,0,0,0,0] [/X,/X,/X,1,1,1] Husker [0,1,1,1,0,0] [X,X,0,0,0,0] Husker en tilstand Q afhængig af sidste SR input S: Set, R: Reset

31 Clock Synkronisering af hændelser, f.eks. indlæsning i registre

32 Clocked 1-bit D-latch Når clock-signalet er højt indlæses D i latchen ellers huskes level-triggered

33 Clocked D flip-flop a b c d Når clock-signalet stiger indlæses D i latchen edge-triggered

34 Lagerkredsløb 2 x 1 bit D flip-flop 8 bit D flip-flop

35 Eksempel: et 4 x 3 bit lager I 0 -I 2 : Input O 0 -O 2 : Output A 0 -A 1 : Adresse CS: Chip Select RD: Read OE: Output Enable

36 Dynamisk RAM (DRAM) Robert Noyce og Gordon Moore grundlagde Intel i 1968 I 1970 lanceredes Intel 1103, den første 1 Kbit DRAM chip

37 Moore's lov (1965) x2 /18mdr Intel 2010: Poulson processor 3,100,000,000 transitorer 544mm2

38 Intel 4004 og Pentium 4

39 SLUT!!!!!!

40 Ekstra slides

41 XOR V 1 V 2 V out

42 XOR V 1 V 2 V out

43 XOR V 1 V 2 V out Alt kan bygges af AND, OR, NOT (dvs. NAND eller NOR)

44 Multiplexer Vælger et blandt 2 n data-input udfra n kontrol-input F = D i, hvor i = ABC

45 Subtraktion i Mic-1's ALU sub(w 1, W 2 ) = add(w 1, add(neg(w 2 ),1)) Læs A og B: ENA=1, ENB=1 Inverter A: INVA = 1 Vælg add: F 0 = 1, F 1 = 1 Læg én til: INC=1 ALU'en beregner så: add(neg(a), B, 1) = add(b, add(neg(a),1)) = sub(b,a) Derfor understøtter vores ALU operationen B-A

46 1-bit SR-latch Husker en tilstand Q afhængig af sidste SR input S: Set, R: Reset

Niveauer af abstrakte maskiner

Niveauer af abstrakte maskiner Det digitale niveau Niveauer af abstrakte maskiner Digitale kredsløb Logiske tilstande: (- V), (2-5 V) Kombinatoriske kredsløb Logiske tilstande: (- V), (2-5 V) Registre Logiske tilstande: (- V), (2-5

Læs mere

Det Digitale Niveau. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet

Det Digitale Niveau. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet Det Digitale Niveau Niels Olof Bouvin Institut for Datalogi Aarhus Universitet Level : Det digitale niveau Level 5 Problem-oriented language level Translation (compiler) Level 4 Assembly language level

Læs mere

Oversigt. Indhold mm.5: Latch es og flip-flops Analyse af synkrone sekventielle kredsløb Syntese. Boolsk algebra, byggeblokke,

Oversigt. Indhold mm.5: Latch es og flip-flops Analyse af synkrone sekventielle kredsløb Syntese. Boolsk algebra, byggeblokke, Oversigt Indhold mm.5: Latch es og flip-flops Analyse af synkrone sekventielle kredsløb Syntese Boolsk algebra, byggeblokke, talsystemer Kombinatoriske kredsløb, minimering Sekventielle kredsløb, analyse

Læs mere

Lyskryds. Thomas Olsson Søren Guldbrand Pedersen. Og der blev lys!

Lyskryds. Thomas Olsson Søren Guldbrand Pedersen. Og der blev lys! Og der blev lys! OPGAVEFORMULERING:... 2 DESIGN AF SEKVENS:... 3 PROGRAMMERING AF PEEL KREDS... 6 UDREGNING AF RC-LED CLOCK-GENERAOR:... 9 LYSDIODER:... 12 KOMPONENLISE:... 13 DIAGRAM:... 14 KONKLUSION:...

Læs mere

Boolsk algebra For IT studerende

Boolsk algebra For IT studerende Boolsk algebra For IT studerende Henrik Kressner Indholdsfortegnelse 1 Indledning...2 2 Logiske kredsløb...3 Eksempel:...3 Operatorer...4 NOT operatoren...4 AND operatoren...5 OR operatoren...6 XOR operatoren...7

Læs mere

Egenskaber for ROM/RAM

Egenskaber for ROM/RAM Egenskaber for ROM/RAM Preben Holm 5-3-3 En ROM-kreds kan lagre nogle data, men disse data kan ikke ændres. Man siger at kredsen har n input og b output. Input s er kaldet adresse ben (f.eks....a5) og

Læs mere

Computeren inderst inde

Computeren inderst inde Computeren inderst inde DM534 Rolf Fagerberg Bits Information = valg mellem forskellig muligheder. Simpleste situation: valg mellem to muligheder. Kald dem 0 og. Denne valgmulighed kaldes en bit. Bits

Læs mere

Boolsk algebra For IT studerende

Boolsk algebra For IT studerende Boolsk algebra For IT studerende Henrik Kressner Indholdsfortegnelse Indledning...3 Logiske kredsløb...4 Eksempel:...4 Operatorer...4 NOT operatoren...5 AND operatoren...5 OR operatoren...6 XOR operatoren...7

Læs mere

Projekt - RoboNet Del Journal.

Projekt - RoboNet Del Journal. Projekt - RoboNet Del Journal. A/D Konvertering. Udarbejdet af: Klaus Jørgensen. Gruppe: Jacob Clausen, Klaus Jørgensen og Ole Rud It og Elektronikteknolog, a Erhvervsakademiet Fyn Udarbejdet i perioden:

Læs mere

Alt dette er også grundlaget for digitalteknikken, som er baseret på logiske

Alt dette er også grundlaget for digitalteknikken, som er baseret på logiske Gates Logiske kredse Læren om logisk tænkning eller læren om tænkningens love og former er den beskrivelse, man ofte møder, når begrebet logik skal forklares. Det er almindeligt at anvende udtrykket,»det

Læs mere

Logiske Digitale Kredsløb 10. Agenda: Interaktion mellem bruger og kredsløb Kontakter og prel Displays

Logiske Digitale Kredsløb 10. Agenda: Interaktion mellem bruger og kredsløb Kontakter og prel Displays LDK D3 kursusplan LDK 1 Introduktion, talsystemer, boolsk algebra, DeMorgan, sandhedstabeller, LDK 2 Logiske byggeblokke, grafisk minimering, Kaunaugh, Kombinatoriske netværk LDK 3 PEEL 18CV8 til realisering

Læs mere

Niveauer af abstrakte maskiner

Niveauer af abstrakte maskiner Mikroarkitektur Niveauer af abstrakte maskiner Spørgsmål... Hvordan realiseres IJVM maskinen (lev. 2), eller hvordan ser en IJVM-CPU ud? Opbygning (mikroarkitekturen Mic-1) Anvendelse (mikroprogrammet

Læs mere

Computerens Anatomi. Af Martin Arnetoft

Computerens Anatomi. Af Martin Arnetoft Computerens Anatomi Af Martin Arnetoft Moores lov Moores lov siger, at antallet af transistorer på et stykke hardware over 18 eller 24 måneder fordobles. Denne lov bruges til at beskrive udviklingen indenfor

Læs mere

Processoren: Enkelt-cyklus implementation

Processoren: Enkelt-cyklus implementation Processoren: Enkelt-cyklus implementation Martin Zachariasen, DIKU Litteratur: Patterson & Hennessy, afsnit 5.1 5.3 Historisk tilbageblik MIPS-arkitekturen/delmængde Klokning og byggeklodser Opbygning

Læs mere

Kompendium. Flip Flops og Tællere

Kompendium. Flip Flops og Tællere 9/9-05 Kompendium Flip Flops og Tællere Rettelser og tilføjelser modtages gerne / Valle Flip Flop s Ucc SW Set R k 0 Reset SW U OR R k Uout En Flip Flop er et kredsløb, der kan være sat enten i den ene

Læs mere

DATALOGI MASKINARKITEKTUR Blok 2 samt Reeksamination i DATALOGI MASKINARKITEKTUR Blok 1 og arkitekturdelen af DATALOGI 1E

DATALOGI MASKINARKITEKTUR Blok 2 samt Reeksamination i DATALOGI MASKINARKITEKTUR Blok 1 og arkitekturdelen af DATALOGI 1E Københavns Universitet Naturvidenskabelig Embedseksamen DATALOGI MASKINARKITEKTUR Blok 2 samt Reeksamination i DATALOGI MASKINARKITEKTUR Blok 1 og arkitekturdelen af DATALOGI 1E Vejledende løsninger til

Læs mere

TG 8. Indhold: TG8 - Kredsløbsbeskrivelse Gruppemedlemmer: Kim Andersen, Kasper Jensen & Thyge Mikkelsen Dato: Modtaget af: Søren Knudsen

TG 8. Indhold: TG8 - Kredsløbsbeskrivelse Gruppemedlemmer: Kim Andersen, Kasper Jensen & Thyge Mikkelsen Dato: Modtaget af: Søren Knudsen TG 8 EUC-Syd Sønderborg 6. Skoleperiode Elektronikmekaniker Indhold: TG8 - Kredsløbsbeskrivelse Gruppemedlemmer: Kim Andersen, Kasper Jensen & Thyge Mikkelsen Dato: 30 04-2002 Modtaget af: Søren Knudsen

Læs mere

Verilog HDL. Presented by: Amir Masoud Gharehbaghi

Verilog HDL. Presented by: Amir Masoud Gharehbaghi Verilog HDL Presented by: Amir Masoud Gharehbaghi Email: amgh@mehr.sharif.edu Design Hierarchy Design Specification & Requirements Behavioral Design Register Transfer Level (RTL) Design Logic Design Circuit

Læs mere

DATALOGI 1E. Skriftlig eksamen torsdag den 3. juni 2004

DATALOGI 1E. Skriftlig eksamen torsdag den 3. juni 2004 Københavns Universitet Naturvidenskabelig Embedseksamen DATALOGI 1E Skriftlig eksamen torsdag den 3. juni 2004 Opgaverne vægtes i forhold til tidsangivelsen herunder, og hver opgaves besvarelse bedømmes

Læs mere

Programmering af Gal-kredse Version

Programmering af Gal-kredse Version Programmering af GAL-kredse. Vi kender allerede IC-kredse, der indeholder enten AND-gates, OR-gates osv. De er færdig-konstruerede fra fabrikken og man skal bruge dem som de er. De indeholder typisk enten

Læs mere

Boolesk Algebra og det binære talsystem - temahæfte informatik. Oprindelse.

Boolesk Algebra og det binære talsystem - temahæfte informatik. Oprindelse. Boolesk Algebra og det binære talsystem - temahæfte informatik. I dette hæfte arbejdes der med to-tals systemet og logiske udtryk. Vi oplever at de almindelige regneregler også gælder her, og vi prøver

Læs mere

Kompendium. Gates og Boolsk algebra

Kompendium. Gates og Boolsk algebra Version /7-5 Kompendium Gates og oolsk algebra Rettelser og tilføjelser modtages gerne / Valle Generelt: I digital elektronik er kredsløb opbygget af gates. Gates kan godt opfattes som porte, hvis blot

Læs mere

Eksamen dcomnet Q2/2012. Studiekortsnummer Navn

Eksamen dcomnet Q2/2012. Studiekortsnummer Navn Eksamen dcomnet Q2/2012 Studiekortsnummer Navn Vejledning Eksamen varer en time fra kl. 9 til kl. 10. Husk at skrive studienummer og navn tydeligt på forsiden, inden eksamen afsluttes. Der er ét rigtigt

Læs mere

ECE 551: Digital System * Design & Synthesis Lecture Set 5

ECE 551: Digital System * Design & Synthesis Lecture Set 5 ECE 551: Digital System * Design & Synthesis Lecture Set 5 5.1: Verilog Behavioral Model for Finite State Machines (FSMs) 5.2: Verilog Simulation I/O and 2001 Standard (In Separate File) 3/4/2003 1 ECE

Læs mere

Computerarkitektur Eksamen 2014Q2. Niels Olof Bouvin A. Studienummer Navn

Computerarkitektur Eksamen 2014Q2. Niels Olof Bouvin A. Studienummer Navn Computerarkitektur Eksamen 2014Q2 Niels Olof Bouvin A Studienummer Navn Vejledning Eksamen varer en time fra kl. 9 til kl. 10. Husk at skrive studienummer og navn tydeligt på forsiden, inden eksamen afsluttes.

Læs mere

Indholdsfortegnelse :

Indholdsfortegnelse : Rapporten er udarbejdet af Daniel & Kasper D. 23/1-2001 Indholdsfortegnelse : 1.0 STEPMOTEREN : 4 1.1 Stepmotorens formål : 4 1.2 Stepmotorens opbygning : 4 2.0 PEEL-KREDSEN 4 2.1 PEEL - Kredsen Generelt

Læs mere

WinPLACE. PLD-design med udviklingsprogrammet WinPLACE v2.3.2. En PLD er en programmerbar kreds. PLD står for Programmable Logic Device.

WinPLACE. PLD-design med udviklingsprogrammet WinPLACE v2.3.2. En PLD er en programmerbar kreds. PLD står for Programmable Logic Device. PLD-design med udviklingsprogrammet WinPLACE v2.3.2 En PLD er en programmerbar kreds. PLD står for Programmable Logic Device. Programmet WinPLACE fra firmaet ICT kan bruges til at bestemme, hvad man vil

Læs mere

Programmering af Gal-kredse Version

Programmering af Gal-kredse Version /-06 Programmering af GAL-kredse. Vi kender allerede IC-kredse, der indeholder enten AND-gates, OR-gates osv. De er færdig-konstruerede fra fabrikken og man skal bruge dem som de er. De indeholder typisk

Læs mere

Bits, bit operationer, integers og floating point

Bits, bit operationer, integers og floating point Denne guide er oprindeligt udgivet på Eksperten.dk Bits, bit operationer, integers og floating point Denne artikel beskriver hvordan data gemmes som bits og hvordan man kan manipulere med bits. Den forudsætter

Læs mere

Computerarkitektur Eksamen 2014Q3. Niels Olof Bouvin. Studienummer Navn

Computerarkitektur Eksamen 2014Q3. Niels Olof Bouvin. Studienummer Navn Computerarkitektur Eksamen 2014Q3 Niels Olof Bouvin Studienummer Navn Vejledning Eksamen varer en time fra kl. 9 til kl. 10. Husk at skrive studienummer og navn tydeligt på forsiden, inden eksamen afsluttes.

Læs mere

Eksamen dcomnet Q2/2010. Navn

Eksamen dcomnet Q2/2010. Navn 2582 Eksamen dcomnet Q2/2010 ID Navn Example I A32-prg1 Betragt følgende program skrevet i IA-32 symbolsk maskinsprog:.section.data x:.long 2 r:.long 27.section.text.globl _start _start: pushl x movl $0,%ebx

Læs mere

VLSI Design I. Design for Test. Overview design for test architectures ad-hoc, scan based, built-in

VLSI Design I. Design for Test. Overview design for test architectures ad-hoc, scan based, built-in VLSI esign I esign for Test He s dead Jim... Overview design for test architectures ad-hoc, scan based, built-in in Goal: You are familiar with testability metrics and you know ad-hoc test structures as

Læs mere

EE 447 VLSI Design. Lecture 8: Circuit Families. EE 447 VLSI Design

EE 447 VLSI Design. Lecture 8: Circuit Families. EE 447 VLSI Design EE 447 VLSI Design Lecture 8: Circuit Families Outline Pseudo-nMOS Logic Dynamic Logic Pass Transistor Logic 2 Introduction What makes a circuit fast? I C dv/dt -> t pd (C/I) ΔV low capacitance high current

Læs mere

Eksamen Computerarkitektur 2013Q4. Niels Olof Bouvin. Studienummer Navn

Eksamen Computerarkitektur 2013Q4. Niels Olof Bouvin. Studienummer Navn Eksamen Computerarkitektur 2013Q4 Niels Olof Bouvin Studienummer Navn Vejledning Eksamen varer en time fra kl. 9 til kl. 10. Husk at skrive studienummer og navn tydeligt på forsiden, inden eksamen afsluttes.

Læs mere

ORCAD Digital U1A er en tæller. Den får clocksignaler ind på ben 1. På ben 2 er der en reset-funktion.

ORCAD Digital U1A er en tæller. Den får clocksignaler ind på ben 1. På ben 2 er der en reset-funktion. OR igital OR OPGER IGITL.) yg dette kredsløb op: er må kun bruges komponenter fra underbiblioteket /pspice/ Stel, findes ved klik i højre side, og i biblioteket Source. og R findes i Pspice / nalog. 7

Læs mere

Eksamen dcomnet 2012Q4. Årskortsnummer Navn

Eksamen dcomnet 2012Q4. Årskortsnummer Navn Eksamen dcomnet 2012Q4 Årskortsnummer Navn Vejledning Eksamen varer en time fra kl. 9 til kl. 10. Husk at skrive årskort og navn tydeligt på forsiden, inden eksamen afsluttes. Der er ét rigtigt svar per

Læs mere

Computere og Netværk (dcomnet)

Computere og Netværk (dcomnet) Computere og Netværk (dcomnet) http://www.cs.au.dk/dcomnet Jens Kargaard Madsen (jkm@iha.dk) Jens Bennedsen (jbb@iha.dk) dcomnet 1 Computere og netværk Beskrivelse At give den studerende kendskab til computere

Læs mere

Kompendium om Gates og Gate-familier.

Kompendium om Gates og Gate-familier. Kompendium om Gates og Gate-familier. Der er vist en masse forskellige forhold vedrørende gates, samlet gennem flere år. Rettelser modtages gerne!! Side 1 af 21 Nandgate med hysterese: Normalt er grænsen

Læs mere

Maskinarkitektur. Lars Kristensen kris@daimi.au.dk. Christian Storm cstorm@daimi.au.dk. dmasark 1

Maskinarkitektur. Lars Kristensen kris@daimi.au.dk. Christian Storm cstorm@daimi.au.dk. dmasark 1 Maskinarkitektur Lars Kristensen kris@daimi.au.dk Christian Storm cstorm@daimi.au.dk dmasark 1 Praktiske oplysninger http://www.daimi.au.dk/dmasark dmasark 2 Forelæsninger Tirsdag 12.15-14.00, Store Aud,

Læs mere

Logik. Af Peter Harremoës Niels Brock

Logik. Af Peter Harremoës Niels Brock Logik Af Peter Harremoës Niels Brock December 2009 1 Indledning Disse noter om matematisk logik er en videreudbygning af det, som står i bogen MAT A [1]. Vi vil her gå lidt mere systematisk frem og være

Læs mere

COMAL og COMPUTERE I DANSKE GYMNASIER Erindringer 1971-1991

COMAL og COMPUTERE I DANSKE GYMNASIER Erindringer 1971-1991 COMAL og COMPUTERE I DANSKE GYMNASIER Erindringer 1971-1991 Frank Bason, Ph.D. (atmosfærens fysik) Silkeborg Gymnasium (1971-2011) SolData Instruments (1979-... ) COMPUTERENS HISTORIE: 1800-tallet Ada

Læs mere

Simulering af en Mux2

Simulering af en Mux2 Simulering af en Mux2 Indhold Start QuartusII op start et nyt projekt.... 2 Fitter opsætning... 6 Opstart af nyt Block diagram... 8 ModelSim... 14 Hvis man vil ændre data grafisk kan det også lade sig

Læs mere

Detter dokument er kun til intern brug og klassificeret som strengt fortroligt. Forfatteren tager forbehold for alle fejl og mangler.

Detter dokument er kun til intern brug og klassificeret som strengt fortroligt. Forfatteren tager forbehold for alle fejl og mangler. 1KAPITEL Detter dokument er kun til intern brug og klassificeret som strengt fortroligt. Forfatteren tager forbehold for alle fejl og mangler. Kapitel 4 side 28 Kommentar:Statisk RAM gør brug af D-flip-flops

Læs mere

Journal JTAG: Udarbejde af: Benjamin Grydehøj I samarbejde med PDA Projektgruppen. Elektronikteknologafdelingen på Erhvervsakademi Fyn.

Journal JTAG: Udarbejde af: Benjamin Grydehøj I samarbejde med PDA Projektgruppen. Elektronikteknologafdelingen på Erhvervsakademi Fyn. Journal JTAG: Udarbejde af: Benjamin Grydehøj I samarbejde med PDA Projektgruppen Elektronikteknologafdelingen på Erhvervsakademi Fyn. Journal JTAG Xilinx XC9536 29-9-3 Generel beskrivelse af JTAG: JTAG:

Læs mere

Computer Literacy. En stationær bordmodel. En Bærbar Notebook, Labtop, Slæbbar, Blærebar mm.

Computer Literacy. En stationær bordmodel. En Bærbar Notebook, Labtop, Slæbbar, Blærebar mm. Computer Literacy Computer Literacy handler om at forstå hvad computer (hardware) og software kan gøre. Denne præsentation fokuserer kun på hardware februar 2002 Computerliteracy -hardware (15 dias) 1

Læs mere

Projekt - RoboNet Del Journal.

Projekt - RoboNet Del Journal. Projekt - RoboNet Del Journal. Motorstyring. Udarbejdet af: Klaus Jørgensen. Gruppe: Jacob Clausen, Klaus Jørgensen og Ole Rud It og Elektronikteknolog, a Erhvervsakademiet Fyn Udarbejdet i perioden: /-

Læs mere

dcomnet-nr. 8 Simpel aritmetik på maskinniveau Computere og Netværk (dcomnet)

dcomnet-nr. 8 Simpel aritmetik på maskinniveau Computere og Netværk (dcomnet) dcomnet-nr. 8 Simpel aritmetik på maskinniveau Computere og Netværk (dcomnet) Efterår 2009 1 Simpel aritmetik på maskinniveau I SCO, appendix A, er det beskrevet, hvordan man adderer ikke-negative heltal

Læs mere

Analyseopgaver. Forklar kredsløbet. Forklar kredsløbet. 3.0 DC Adapter med Batteri Backup.

Analyseopgaver. Forklar kredsløbet. Forklar kredsløbet. 3.0 DC Adapter med Batteri Backup. Analyseopgaver. Simpel NiMH lader. Forklar kredsløbet.. Infrarød Remote Control tester Forklar kredsløbet.. DC Adapter med Batteri Backup. Der bruges en ustabiliseret Volt adapter. Den giver normalt ca.

Læs mere

DM13-1. Obligatorisk opgave E.05. Jacob Aae Mikkelsen

DM13-1. Obligatorisk opgave E.05. Jacob Aae Mikkelsen DM13-1. Obligatorisk opgave E.05 Jacob Aae Mikkelsen - 191076 26. september 2005 Indhold Analyse af problemstillingen........................ 2 Spørgsmål 1................................. 3 Spørgsmål

Læs mere

Last Lecture CS Amp. I D V B M 2. I bias. A v. V out. V in. Simplified Schematic. Practical Implementation V GS

Last Lecture CS Amp. I D V B M 2. I bias. A v. V out. V in. Simplified Schematic. Practical Implementation V GS Output Range INEL 565 Analog Circuit Design 1/30/019 Last Lecture CS Amp. I D off ohmic sat sat ohmic ohmic I bias V B I bias V dd V dd -V ov A v Simplified Schematic Practical Implementation V ov1 V th

Læs mere

Planen for idag. Kontrol af ydre enheder. Systemarkitektur. Synkronisering ved aktiv venten. Multiprogrammering[1]: Ydre enheder og multiprogrammer

Planen for idag. Kontrol af ydre enheder. Systemarkitektur. Synkronisering ved aktiv venten. Multiprogrammering[1]: Ydre enheder og multiprogrammer : Ydre enheder og multiprogrammer Forår 2003 Jørgen Sværke Hansen Planen for idag Samspil mellem CPU og ydre enheder: Generelt Kontrolregistre Afbrydelser Specifikt for Alpha AXP (kernemaskinerne) Multiprogrammering:

Læs mere

Internt interrupt - Arduino

Internt interrupt - Arduino Programmering for begyndere Brug af Arduino Internt interrupt - Arduino - Afslutning EDR Hillerød Knud Krogsgaard Jensen / OZ1QK 1 Intern interrupt Jeg vil rydde lidt op. Her er nogle punkter vil har glemt

Læs mere

På en digital indgang kan en computer kun se forskel på, om en kontakt er tændt eller slukket. Men til gengæld er den hurtig og god til at regne.

På en digital indgang kan en computer kun se forskel på, om en kontakt er tændt eller slukket. Men til gengæld er den hurtig og god til at regne. Boolesk Algebra og det binære talsystem - temahæfte informatik Dette temahæfte introducerer to-talsystemet og logiske udtryk (Boolesk algebra). Vi oplever, at de almindelige regneregler også gælder i to-talsystemet,

Læs mere

Der er derfor, for at alle kan sende, kun tilladt, at sende intermitterende. Altså korte pakker. ( Dette skal dog verificeres!!)

Der er derfor, for at alle kan sende, kun tilladt, at sende intermitterende. Altså korte pakker. ( Dette skal dog verificeres!!) MHz KIT Rev: /- Det er ikke tilladt, at man bare udsender radiobølger på den frekvens, man ønsker. Forskellige frekvenser er udlagt til forskellige formål. Nogle til politiet, militæret, FM-radio-transmission,

Læs mere

Indholdsfortegnelse :

Indholdsfortegnelse : Udarbejdet af Kasper jensen EUC-Syd 4ES 10-3-2001 Indholdsfortegnelse : Indholdsfortegnelse :...2 Mikroprocessor general :...3 Mikroprocessors funktion :...3 AT89C4051 :...3 Programmering :...3 Assambler

Læs mere

Switchmode Powersupply. Lasse Kaae 2009 Juni

Switchmode Powersupply. Lasse Kaae 2009 Juni Switchmode Powersupply Lasse Kaae 2009 Juni Agenda Teori (Mandag) Pspice simulering (Mandag) Bygge SPS (Tirsdag) Fejlfinding på produkter (Onsdag-Torsdag) EMC (Torsdag) Gennemgang af PSP-diagrammer (Fredag)

Læs mere

Sampler/trommemaskine

Sampler/trommemaskine Sampler/trommemaskine P4-projekt Gruppe 416 Elektronik & IT Aalborg Universitet Den 27. Maj 2014 2. Studieår v/ Det Teknisk- Naturvidenskabelige Fakultet Elektonik og IT Fredrik Bajers Vej 7 9000 Aalborg

Læs mere

JavaScript. nedarvning.

JavaScript. nedarvning. JavaScript er et sprog, der kan give en hjemmeside mere funktionalitet og gøre den interaktiv, så den reagerer på læsernes handlinger. CGI (Common Gateway Interface) har hidtil været de protokoller, man

Læs mere

FORGRENINGSLOGIK OG CALCULATED FIELDS. Opsætning af forgreningslogik Felttypen Calculated field

FORGRENINGSLOGIK OG CALCULATED FIELDS. Opsætning af forgreningslogik Felttypen Calculated field FORGRENINGSLOGIK OG CALCULATED FIELDS Opsætning af forgreningslogik Felttypen Calculated field Felt-referencer i REDCap Når man anvender forgreningslogik, beregnede felter eller piping i REDCap, refererer

Læs mere

Processoren: Fler-cyklus implementation

Processoren: Fler-cyklus implementation Processoren: Fler-cykls implementation artin Zachariasen, DIKU Litteratr: Patterson & Hennessy, afsnit 5.4 5. Ulemper ved enkelt-cykls maskinen Ændring til fler-cykls maskine Styresignaler Implementering

Læs mere

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1

VLSI Design. DC & Transient Response. EE 447 VLSI Design 4: DC and Transient Response 1 VLSI Design DC & Transient Response 4: DC and Transient Response 1 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation 4: DC and Transient Response DC Response DC Response:

Læs mere

Indstilling af niveauer: Referer eventuelt til illustrationen af frontpanalet på side 4. 1. Sæt LEAD, HARMONY, EFFECTS og INPUT knapperne

Indstilling af niveauer: Referer eventuelt til illustrationen af frontpanalet på side 4. 1. Sæt LEAD, HARMONY, EFFECTS og INPUT knapperne QUICKSTART For at du hurtigst muligt kommer igang med at bruge din nye VoicePrism, bør du læse denne Quick Guide, der forklarer de mest essentielle ting. Selve manualen er mere detaljeret, men vi er overbeviste

Læs mere

DATALOGI MASKINARKITEKTUR

DATALOGI MASKINARKITEKTUR Københavns Universitet Natrvidenskabelig Embedseksamen DATALOGI ASKINARKITEKTUR Skriftlig eksamen fredag den 29. oktober 24 kl. -2 Frederiksholms kanal 4B Der er fem opgaver i alt og disse giver følgende

Læs mere

BOSK F2011, 1. del: Udsagnslogik

BOSK F2011, 1. del: Udsagnslogik ( p q) p q February 1, 2011 Sandhedsværdier og udsagnsvariable I dag handler det om logiske udsagn. Mere præcist om de logiske udsagn vi kan bygge ud fra sandhedsværdier, udsagnsvariable og logiske konnektiver.

Læs mere

HiFi Forstærker P3 PROJEKT 2008 GRUPPE 314 3. SEMESTER ELEKTRONIK & ELEKTROTEKNIK AALBORG UNIVERSITET DEN 17/12 2008

HiFi Forstærker P3 PROJEKT 2008 GRUPPE 314 3. SEMESTER ELEKTRONIK & ELEKTROTEKNIK AALBORG UNIVERSITET DEN 17/12 2008 HiFi Forstærker P3 PROJEKT 008 GRUPPE 34 3. SEMESTER ELEKTRONIK & ELEKTROTEKNIK AALBORG UNIVERSITET DEN 7/ 008 . Titel: Hi-Fi forstærker Tema: Analog og digital elektronik Projektperiode: P3, Efterårssemestret

Læs mere

Programmerbare Kredse

Programmerbare Kredse Programmerbare Kredse ROM RAM PROM EPROM EEPROM PAL, GAL, PLA, PEEL, ASIC Udskrevet d. 17-11-2007 Side 1 Forord: Kompendiet er beregnet til brug ved introduktionen af programmerbare kredse i HTX Findes

Læs mere

Overvågning af punktsug, URANOS LOCAL EXHAUST GUARD

Overvågning af punktsug, URANOS LOCAL EXHAUST GUARD Sikkert arbejde ved brug af punktsug Uranos Local Exhaust Guard kan fungere efter to forskellige principper: 1. Flowovervågning. 2. Trykovervågning. Flowovervågning Anvendes fortrinvis når hvert enkelt

Læs mere

Hold 6 Tirsdag. Kristian Krøier, Jacob Christiansen & Thomas Duerlund Jensen Fag: ELA Lærer: Jan Petersen (JPe) Dato for aflevering: 29.

Hold 6 Tirsdag. Kristian Krøier, Jacob Christiansen & Thomas Duerlund Jensen Fag: ELA Lærer: Jan Petersen (JPe) Dato for aflevering: 29. ELA journal: Øvelse 3 Grundlæggende Op. Amp. Koblinger. Dato for øvelse:. nov. 00 & 9. nov. 00 Hold 6 Tirsdag Kristian Krøier, Jacob Christiansen & Thomas Duerlund Jensen Fag: ELA Lærer: Jan Petersen (JPe)

Læs mere

Mikroprogrammering. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet

Mikroprogrammering. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet Mikroprogrammering Niels Olof Bouvin Institut for Datalogi Aarhus Universitet Oversigt Mikroprogrammering: IJVM implementeret på Mic-1 Forbedringer af Mic-1 Metode til udvikling af symbolske maskinsprogsprogrammer

Læs mere

To mikroarkitekturer til MIPS Karakteropgave på Maskinarkitektur 1B

To mikroarkitekturer til MIPS Karakteropgave på Maskinarkitektur 1B To mikroarkitekturer til MIPS Karakteropgave på Maskinarkitektur 1B Martin Zachariasen 10. september 2004 1 Introduktion Dette er den karaktergivende rapportopgave på kurset Maskinarkitektur 1B, efterår

Læs mere

Undervisningsbeskrivelse

Undervisningsbeskrivelse Undervisningsbeskrivelse Stamoplysninger til brug ved prøver til gymnasiale uddannelser Termin maj-juni 20115 Institution KTS Vibenhus HTX Uddannelse Fag og niveau Lærer(e) Hold HTX Teknik fag Design og

Læs mere

Matematik B Klasse 1.4 Hjemmeopaver

Matematik B Klasse 1.4 Hjemmeopaver Matematik B Klasse 1.4 Hjemmeopaver 1) opgave 336, side 23 Opgaven går ud på at jeg skal finde ud af hvor gamle børnene højst kan være, når forældrene tilsammen er 65 år og de skal være 40 år ældre end

Læs mere

IR32C: Elektronisk digital termostat med afrimningskontrol for køle-/ frostanlæg med drift inden for lave temperaturområder.

IR32C: Elektronisk digital termostat med afrimningskontrol for køle-/ frostanlæg med drift inden for lave temperaturområder. IR32C LED (lysdiode) instrumenter til køl/ frost infrarød IR32C: Elektronisk digital termostat med afrimningskontrol for køle-/ frostanlæg med drift inden for lave temperaturområder. IR32C - COMPACT modellen

Læs mere

Motherboard. Chip-set (nord- og syd-bro)

Motherboard. Chip-set (nord- og syd-bro) Samle PC Gruppe 5: Rn, Ajitkumar, Lars O., Lars N. Mtherbard mærke, mdel HP D530CMT(DF376T) Cmpaq CPU-skkel BIOS (mærke, versin sv.) 786B2 v1.11 BIOS Al hardware har brug fr sftware fr at fungere. BIOS

Læs mere

TeamShare 3.0 Forbedringer til TeamShare Office

TeamShare 3.0 Forbedringer til TeamShare Office TeamShare 3.0 Forbedringer til TeamShare Office Kære TeamShare bruger, I min løbende orientering om alle de nye ting der kommer i TeamShare 3.0, vil jeg her give en beskrivelse af de forbedringer vi laver

Læs mere

DM13-1. Obligatoriske Opgave - Kredsløbs design

DM13-1. Obligatoriske Opgave - Kredsløbs design DM13-1. Obligatoriske Opgave - Kredsløbs design Jacob Christiansen moffe42@imada.sdu.dk Institut for MAtematik og DAtalogi, Syddansk Universitet, Odense 1. Opgaven Opgaven består i at designe et kredsløb,

Læs mere

Kravspecifikation For. Gruppen

Kravspecifikation For. Gruppen Kravspecifikation For Gruppen Indholdsfortegnelse 1. INDLEDNING...3 1.1 FORMÅL...3 1.2 REFERENCER...3 1.3 LÆSEVEJLEDNING...3 2. GENEREL BESKRIVELSE...4 2.1 SYSTEM BESKRIVELSE...4 2.2 SYSTEMETS FUNKTION...4

Læs mere

Kompendium. Gates og Boolsk algebra

Kompendium. Gates og Boolsk algebra Version /7-5 Kompendium Gates og oolsk algebra Rettelser og tilføjelser modtages gerne / Valle Generelt: I digital elektronik er kredsløb opbygget af gates. Gates kan godt opfattes som porte, hvis blot

Læs mere

Metal Detektor. HF Valgfag. Rapport.

Metal Detektor. HF Valgfag. Rapport. Metal Detektor. HF Valgfag. Rapport. Udarbejdet af: Klaus Jørgensen. Gruppe: Klaus Jørgensen Og Morten From Jacobsen. It- og Elektronikteknolog. Erhvervsakademiet Fyn Udarbejdet i perioden: 9/- /- Vejledere:

Læs mere

Filnavn: main.c 17-05-2002

Filnavn: main.c 17-05-2002 /*Afgangsprojket Intelligent hus styringssystem med LAN. Hovedprogram til brug i PIC 16F628, placeret på slaveenhed. filnavn: dato: Funktion: fra master, main.asm 2/4-2002-2002 tk Kommunikerer med Master-PC,

Læs mere

AVR MP3 29-05-08 05576 Ingeniørhøjskolen i Århus Michael Kaalund

AVR MP3 29-05-08 05576 Ingeniørhøjskolen i Århus Michael Kaalund AVR MP3 29-05-08 Indholdsfortegnelse 1 Introduktion...2 2 Udviklingsmiljø...2 3 Beskrivelse af systemet...3 3.1 VS1001k...3 3.2 MP3 file formatet...6 4 Konklusion...6 5 Litteratur liste...6 6 Illustrations

Læs mere

Mikroarkitektur. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet

Mikroarkitektur. Niels Olof Bouvin Institut for Datalogi Aarhus Universitet Mikroarkitektur Niels Olof Bouvin Institut for Datalogi Aarhus Universitet 1 Level 1: Mikrokode niveauet Level 5 Problem-oriented language level Translation (compiler) Level 4 Assembly language level Translation

Læs mere

Teoretiske og praktiske kompetencer: - Lad komponenterne indtage teorilokalet

Teoretiske og praktiske kompetencer: - Lad komponenterne indtage teorilokalet Teoretiske og praktiske kompetencer: - Lad komponenterne indtage teorilokalet DTU Inspirationsseminar om undervisning 16. November 2010 Sten Schmidl Søbjærg Vores baggrund: Diplom Elektro, 1. semester

Læs mere

Find de billeder som vises i begge kasser. Papiret kan eventuelt foldes på midten først - kig først på den øverste kasse. Vend papiret og se om du

Find de billeder som vises i begge kasser. Papiret kan eventuelt foldes på midten først - kig først på den øverste kasse. Vend papiret og se om du Navn: Klasse: Materiale ID: PIC.8.1.1.da Lærer: Dato: Klasse: Materiale ID: PIC.8.1.1.da Navn: Klasse: Materiale ID: PIC.8.2.1.da Lærer: Dato: Klasse: Materiale ID: PIC.8.2.1.da Navn: Klasse: 254 Materiale

Læs mere

J-fet. Kompendium om J-FET

J-fet. Kompendium om J-FET J-fet 27/8-215 Kompendium om J-FET FET transistorer Generelt Fet-transistorer er opbygget helt anderledes end bipolar transistorerne. Her er det ikke en basisstrøm, der styrer ledeevnen gennem transistoren,

Læs mere

ipad og børns fortællinger Slides ligger på www.lege-apps.dk -> Workshops -> ipad og børns fortællinger. September 2013. Slides

ipad og børns fortællinger Slides ligger på www.lege-apps.dk -> Workshops -> ipad og børns fortællinger. September 2013. Slides ipad og børns fortællinger Slides ligger på www.lege-apps.dk -> Workshops -> ipad og børns fortællinger. September 2013. Slides 9.9.2013 Program ipad workshop 1. Indledning Digital dannelse, leg, læring,

Læs mere

uprocessorens hardware

uprocessorens hardware uprocessorens hardware 8080 Architecture Kernen i en processor er ALUen. Det er den som kan udfører simple regne operationer. De tal den arbejdermed gemmes i en række registre. Når et tal skal hentes eller

Læs mere

Dat1E K1-1 - En pipelinet mikroarkitektur. Espen Højsgaard Rune Højsgaard Christian Iversen

Dat1E K1-1 - En pipelinet mikroarkitektur. Espen Højsgaard Rune Højsgaard Christian Iversen Dat1E K1-1 - En pipelinet mikroarkitektur Espen Højsgaard Rune Højsgaard Christian Iversen 1 Indhold Indhold 2 1 Sammenfatning 5 1.1 Ambitioner................................... 5 1.2 Resultat.....................................

Læs mere

GSM REMOTE CONTROL AND MONITORING SYSTEM Model: GSM-220

GSM REMOTE CONTROL AND MONITORING SYSTEM Model: GSM-220 GSM REMOTE CONTROL AND MONITORING SYSTEM Model: GSM-220 For nyeste manual www.termalou.com Indholdsfortegnelse Intorduktion....... 4 Pakkens indhold......4 Enhedens design....5 Installations Diagram...5

Læs mere

Lærevejledning. - en introduktion til maskinarkitektur. faraz@butt.dk Faraz Butt mads@danquah.dk Mads Danquah doktor@dyregod.dk Ulf Holm Nielsen

Lærevejledning. - en introduktion til maskinarkitektur. faraz@butt.dk Faraz Butt mads@danquah.dk Mads Danquah doktor@dyregod.dk Ulf Holm Nielsen Lærevejledning - en introduktion til maskinarkitektur faraz@butt.dk Faraz Butt mads@danquah.dk Mads Danquah doktor@dyregod.dk Ulf Holm Nielsen Roskilde Universitetscenter Naturvidenskabelig Basisuddannelse

Læs mere

Quick Setup Guide SB168-ES og LS9 Dansk version

Quick Setup Guide SB168-ES og LS9 Dansk version Quick Setup Guide SB168-ES og LS9 Dansk version February, 2009 SB168-ES og LS9 Quick Setup Guide Beskrivelse af denne guide. Denne guide indeholder en hurtig og enkelt opsætning af LS932 med SB168ES digital

Læs mere

19 Hashtabeller. Noter. PS1 -- Hashtabeller. Hashing problemet. Hashfunktioner. Kollision. Søgning og indsættelse.

19 Hashtabeller. Noter. PS1 -- Hashtabeller. Hashing problemet. Hashfunktioner. Kollision. Søgning og indsættelse. 19 Hashtabeller. Hashing problemet. Hashfunktioner. Kollision. Søgning og indsættelse. Sammenligning af hashtabeller og søgetræer. 281 Hashing-problemet (1). Vi ønsker at afbilde n objekter på en tabel

Læs mere

Orcad. Digital simulering

Orcad. Digital simulering : Se udprintdato. Orcad Digital simulering Lite edition Nærværende er et kompendium, der fungerer som en komme i gang vejledning i digital kredsløbssimulering med Orcad PSPICE. Kompendiet bygger videre

Læs mere

Blackwire 725-M. USB-headset med ledning. Brugervejledning

Blackwire 725-M. USB-headset med ledning. Brugervejledning Blackwire 725-M USB-headset med ledning Brugervejledning Indhold Velkommen 3 Systemkrav 3 Brug for mere hjælp? 3 Hvad er der i pakken 4 Grundlæggende funktioner 5 Når du har dit headset på 6 Tilpas headset

Læs mere

Øvelse. Øvelse. D.1 CMOS-øvelse. Under øvelsen laves notater, som senere bruges i den efterfølgende journal! Opgave 1:

Øvelse. Øvelse. D.1 CMOS-øvelse. Under øvelsen laves notater, som senere bruges i den efterfølgende journal! Opgave 1: D.1 CMOS-øvelse Under øvelsen laves notater, som senere bruges i den efterfølgende journal! Opgave 1: A): Opbyg flg. kredsløb: Tilslut til 12 Volt. De to indgange er kortsluttede, og forbundet til en ledning

Læs mere

Opslagsbog om computer. Af Erik Veidorf og Mike T. Krogh.

Opslagsbog om computer. Af Erik Veidorf og Mike T. Krogh. Opslagsbog om computer Af Erik Veidorf og Mike T. Krogh. Indhold: Side 1-------------------------------------------------------------------------------------------------------------CD-Rom/disk drev/ Side

Læs mere

Brug af de danske koordinatsystemer

Brug af de danske koordinatsystemer Brug af de danske koordinatsystemer i Microstation V8i Indhold Indledning... 1 VIGTIGT OMKRING LÆNGDE/BREDDEGRAD... 2 EKSPORTERE DGN FIL TIL GOOGLE EARTH... 2 AFLÆSE KOORDINATER I GOOGLE EARTH... 2 GOOGLE

Læs mere

Repræsentation af tal

Repræsentation af tal Repræsentation af tal DM534 Rolf Fagerberg Mål Målet for disse slides er at beskrive, hvordan tal repræsenteres som bitmønstre i computere. Dette emne er et uddrag af kurset DM548 Computerarkitektur og

Læs mere

Din brugermanual BLAUPUNKT ODA SURROUND 2 5.1 http://da.yourpdfguides.com/dref/3311738

Din brugermanual BLAUPUNKT ODA SURROUND 2 5.1 http://da.yourpdfguides.com/dref/3311738 Du kan læse anbefalingerne i brugervejledningen, den tekniske guide eller i installationsguiden. Du finder svarene til alle dine spørgsmål i i brugermanualen (information, specifikationer, sikkerhedsråd,

Læs mere

COPY RIGHT DENVER ELECTRONICS ALL RIGHTS RESERVED

COPY RIGHT DENVER ELECTRONICS ALL RIGHTS RESERVED DANISH DMP370 0/45 sek. Anti Shock bærbar CD-afspiller Dansk betjeningsvejledning www.denver-electronics.com COPY RIGHT DENVER ELECTRONICS ALL RIGHTS RESERVED SIKKERHEDSFORANSTALTNING ER For ikke at beskadige

Læs mere